【安路FPGA】联合modelsim仿真

这篇具有很好参考价值的文章主要介绍了【安路FPGA】联合modelsim仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

第一在modelsim安装目录下新建一个文件夹Anlogic用来存放TD库文件:

【安路FPGA】联合modelsim仿真,fpga开发

打开modelsim仿真软件,feil→change Directory,选择刚才新建文件目录,在新建一个Library库如图所示:

【安路FPGA】联合modelsim仿真,fpga开发

TD库文件al与common是必须要添加的两个库,我的芯片是ph1系列所以添加ph1

【安路FPGA】联合modelsim仿真,fpga开发【安路FPGA】联合modelsim仿真,fpga开发

在去到这个路径会发现多了三个文件夹,在TD安装路径下把这些库文件拷到这些文件夹下 

 【安路FPGA】联合modelsim仿真,fpga开发

 选择compile,把这三个库全部例化,其实也可以先把库添加到安装目录下,但是我的一直有问题,就先新建库然后再把库文件考进去

 【安路FPGA】联合modelsim仿真,fpga开发

 【安路FPGA】联合modelsim仿真,fpga开发

 编译成功后是这样【安路FPGA】联合modelsim仿真,fpga开发

 【安路FPGA】联合modelsim仿真,fpga开发

重点来啦,然后在modelsim根目录下ini文件夹,添加这三个库路径,ini文件需要先去掉只读属性 这里一定要用   /

 【安路FPGA】联合modelsim仿真,fpga开发

保存恢复只读属性,然后新建仿真工程,把要仿真文件拷到工程里,仿真工程放到代码目录也行

【安路FPGA】联合modelsim仿真,fpga开发

 【安路FPGA】联合modelsim仿真,fpga开发

 【安路FPGA】联合modelsim仿真,fpga开发

 【安路FPGA】联合modelsim仿真,fpga开发

仿真成功开始dbug 

重点安路仿真报错,需要在tb文件里加入这两个模块,其他系列的只有例化glbl就行,ph1系列要加上PH1_PHY_GSR

【安路FPGA】联合modelsim仿真,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-715825.html

到了这里,关于【安路FPGA】联合modelsim仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • [ZYNQ]开发之MATALB与FPGA联合仿真平台设计

    本实验在之前两篇文章的基础上设计的MATLAB与FPGA联合仿真平台设计,主要用于在MATLAB于FPGA之前提供收发数据的通道。该实验的应用背景为极化码的编译码流程,极化码的编译码的仿真流程如下: [ZYNQ]开发之基于 AN108 模块的ADC 采集以太网传输_Laid-back guy的博客-CSDN博客 [ZYNQ

    2024年02月04日
    浏览(39)
  • 【安路FPGA】FPGA开发日记(一)

    一、开发环境的安装 首先去安路官网下载安装包工具与资料下载-国产FPGA创新者 - 安路科技 下载后解压 点击安装包直接傻瓜式安装即可 备注:安装后无法打开或者缺文件等情况是安路安装包不包含一些C++库,需要我们自己下载一个  二、一切准备工作做完开始建立第一个属

    2024年02月07日
    浏览(50)
  • FPGA学习笔记(二)——Modelsim仿真、testbench编写

    我的Modelsim-Altera是在安装Quartus13.0时下载的,里面会有选项,安装初学者版本就可以,在Quartus18.0里也可以使用。 这样就完成了关联设置,再次进行仿真就不会出现上篇文章出现的报错了。  sel为1的时候,out与a一致;sel为0的时候,out与b一致。  这只是简单的波形仿真,下面

    2023年04月15日
    浏览(31)
  • FPGA设计——verilog实现乒乓操作并modelsim仿真

    乒乓操作是FPGA设计中常用的一种技巧,它通过数据流控制实现按节拍相互配合的切换,来提高数据处理效率,达到无缝缓冲和处理的效果。本文针对乒乓操作进行学习总结。 完整工程 一、原理图如下 : 1、二选一控制器来对缓冲模块1和2进行选择。 2、数据缓冲模块一般就是

    2023年04月08日
    浏览(28)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(三)

    1. 分频器——偶分频 方法1: verilog代码实现: modelsim仿真波形图: 方法2(推荐): 比起方法一直接使用计数器定义一个新的时钟波形,方法二使用flag_out作为分频变量从而实现分频器的功能。虽然二者都使用了计数器,但方法二显然更符合“分频”的定义,且此方法在告诉

    2024年02月06日
    浏览(31)
  • FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    一、FPGA学习笔记(一)入门背景、软件及时钟约束 二、FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三、FPGA学习笔记(三) 流水灯入门FPGA设计流程 四、FPGA学习笔记(四)通过数码管学习顶层模块和例化的编写 五、FPGA学习笔记(五)Testbench(测试平台)文件编写进行

    2024年02月07日
    浏览(33)
  • 安路EG4S20 FPGA开发板学习记录1

    参加集创赛报名了arm的杯赛,用的是安路科技的EG4S20开发板,基于cortex-M0内核。初赛时什么也不会,研究生的方向是做二维材料,但是想着以后找工作往ic方向靠拢,只能硬着头皮自学。没想到初赛随便交了东西上去竟然进了复赛,本来准备放弃的,现在重新开始一点点学习

    2024年04月16日
    浏览(36)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(六)——vga显示模块

    VGA显示 目标:实现屏幕红、橙、黄、绿、青、蓝、紫、黑、白、灰条形显示 1. 模块框图与波形图 vga_colorbar是实现目标功能的总体模块框图,为了实现对应的输出,我们使用三个具体功能模块实现功能。 (1) clk_gen——使用pll锁相环实现时钟分频 (2)vga_ctrl——图像控制与输出模

    2024年02月04日
    浏览(30)
  • 【FPGA】双线性差值实现图像缩放,使用modelsim和matlab仿真验真

    双线性插值,又称为双线性内插。在数学上,双线性插值是有两个变量的插值函数的线性插值扩展,其核心思想是在两个方向分别进行一次线性插值。 双线性插值作为数值分析中的一种插值算法,广泛应用在信号处理,数字图像和视频处理等方面。 网上理论知识一大把,反

    2024年02月12日
    浏览(29)
  • 安路FPGA烧录程序

    首先编译生成bit文件后,单击下载或者双击Downlod如图所示:  弹出下面窗口: Add添加bit文件 mode选择JTAG 2Mbps,点击Run下载,下面有进度条显示 固化程序,上面介绍的下载方式断电后程序就丢失了,需要烧录到flsh里断电程序不会丢失,设置如图: 把mode改到flsh模式在烧录即

    2024年02月07日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包