VHDL语言基础-时序逻辑电路-锁存器

这篇具有很好参考价值的文章主要介绍了VHDL语言基础-时序逻辑电路-锁存器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

锁存器的设计:

RS锁存器:

真值表:

电路结构图:

RS锁存器的仿真波形如下:

D锁存器:

D锁存器的仿真波形如下:


锁存器的设计:

为了与触发器相类比,我们先介绍锁存器。锁存器是一种电平敏感的寄存器,典型的例子有RS锁存器与D锁存器。

RS锁存器:

真值表:

rs锁存器真值表,# VHDL,fpga开发,VHDL,FPGA,学习,嵌入式硬件

电路结构图:

rs锁存器真值表,# VHDL,fpga开发,VHDL,FPGA,学习,嵌入式硬件

Library  ieee;

Use  ieee.std_logic_1164.all;

Entity  SR_latch2  is

    port ( S, R: in  std_logic ;

             Q, Qbar :out  std_logic);

End  SR_latch2;

Architecture  behav  of   R_latch2  is

Begin

 process ( R , S ) is

      variable rs: std_logic_vector(1 downto 0);

begin

      rs:=R&S;

      case rs is

          when "00" => Q<='1'; Qbar<='1';

          when "01" => Q<='1'; Qbar<='0';

          when "10" => Q<='0'; Qbar<='1';

          when others=>null;

       end case;

   end process;

end behav;

注意:顺序结构中的Null状态等同于并行结构中的Unaffected。

RS锁存器的仿真波形如下:

rs锁存器真值表,# VHDL,fpga开发,VHDL,FPGA,学习,嵌入式硬件

由图可见,由于在时序仿真中有器件的延时,锁存器的状态变化迟于输入信号的变化


D锁存器:

D锁存器与RS锁存器类似,只是在功能上实现的目的不同

rs锁存器真值表,# VHDL,fpga开发,VHDL,FPGA,学习,嵌入式硬件

Library  ieee;

Use  ieee.std_logic_1164.all;

Entity  D_latch  is

     port ( D, Enable: in  std_logic ;

               Q: out  std_logic );

End  D_latch;

Architecture  behav  of   D_latch  is

Begin

      process(D, Enable)

      begin

           if (Enable=‘1’) then  Q<=D;

           end  if;

      end  process;

End  behav;

D锁存器通过条件涵盖不完整的if语句 产生寄存器;
敏感参数表包含D、Enable,综合后  形成一个电平触发的锁存器

D锁存器的仿真波形如下:

rs锁存器真值表,# VHDL,fpga开发,VHDL,FPGA,学习,嵌入式硬件

当ENABLE=‘1’时,Q输出为D的输入值,否则Q保持不变。文章来源地址https://www.toymoban.com/news/detail-717703.html

到了这里,关于VHDL语言基础-时序逻辑电路-锁存器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • VHDL语言基础-基本语句

    目录 VHDL基本语句: 并行语句: 并行语句常包括以下七种: 赋值语句: 使用格式: 条件赋值语句: 使用格式: 选择信号赋值语句: 使用格式: 进程语句: 使用格式: Example:D触发器: 进程语句的特点: 元件例化语句: 元件例化语句——Example:4输入与门 生成语句:

    2023年04月25日
    浏览(36)
  • vhdl语言基础篇-for

    标号:for 循环变量 in 离散范围 generate           并行语句; end generate 标号; 代码示例如下:     signal data_7p4bit : std_logic_vector(7*4-1 downto 0);     signal data_7p_bit : std_logic_vector(6 downto 0);       G_04deg : for I in 0 to 6 generate        process(rst,clk)       begin           if rst=

    2024年02月10日
    浏览(33)
  • VHDL语言基础-数据类型及运算符

    目录 VHDL的数据类型: VHDL中定义的10种标准数据类型: 约束区间说明: 用户自定义数据类型: 使用格式: 常用的用户自定义类型: 枚举类型: 子类型SUBTYPE: 整数类型和实数类型: 数组类型: 记录类型: 数据类型转换: VHDL的运算符:  运算符的优先级: 注意:字符要区

    2024年02月09日
    浏览(52)
  • 「FPGA」基本时序电路元件——锁存器和触发器

    FPGA是一种数字电路实现的方式,它是基于小型查找表(16X1)设计的,它的兄弟CPLD是基于高密度复杂组合逻辑设计的。FPGA的一个优点是触发器资源丰富,适合实现复杂的时序设计。本文将从 门级电路 的角度来介绍时序电路的基本结构,锁存器(Latch)和触发器(flip-flop)。

    2024年02月11日
    浏览(44)
  • 数字逻辑基础实验二—时序逻辑电路的设计

    实验目的 (1)掌握中规模集成寄存器构成的时序逻辑电路的设计方法。 (2)掌握中规模集成计数器设计N进制计数器的方法。 (3)学会用时序功能器件构成综合型应用电路。 实验电路 图 2-1红绿灯电路 实验软件与环境 软件  Multisim 14.2 环境  Windows 11 专业版21H2 设备名称 

    2023年04月21日
    浏览(35)
  • VHDL语言基础-状态机设计-ASM图法状态机设计

    目录 有限状态机的描述方法: ASM图: 状态转移图: 状态转移列表: MDS图: ASM图法状态机设计: ASM图的组成: 状态框: 判断框: 条件框: 状态框与条件框的区别: 状态单元: 用计数器实现ASM图: ASM图的状态分配: 状态转换表: 由状态转换表推导触发器的驱动方程:

    2024年02月03日
    浏览(26)
  • 数字电子技术基础-6-时序逻辑电路

    在第五章中,我们学习了基本的SR锁存器(Latch)和各类触发器(Filp Flop),它们赋予了电路存储的功能,该功能是本章时序电路所依赖的东西。 这是一个循序渐进的过程,同学们要把握每一章节的基本思想与核心知识点,把各章节之间的联系捋清楚,从而达到事半功倍的效果。

    2024年02月10日
    浏览(50)
  • 「数字电子技术基础」7.时序逻辑电路

    时序逻辑电路和组合逻辑电路的区别就在于,时序逻辑电路的输出不仅取决于当前的输入,还取决于当前电路的状态甚至之前电路的状态。也就是说时序逻辑电路有某一个 反馈/存储结构 。 因此可以用三组方程来描述一个时序逻辑电路: 输出方程。也就是输出变量和输入变

    2024年02月02日
    浏览(55)
  • IC验证必备的数字电路基础知识(三):时序逻辑电路

    参考教材:数字电路与逻辑设计(第2版)邬春明 目录 1. 边沿触发器(D触发器) 2. 时序电路描述/构成 3. 时序逻辑电路的功能描述方法 组合逻辑电路掌管了电路中的逻辑运算,基本单元是与或非门。而时序逻辑电路则掌管了电路中数据的存储。触发器就是构成时序逻辑电路

    2024年02月09日
    浏览(35)
  • 硬件基础-时序逻辑电路-触发器画波形图问题解析

    本文章可能只适合软件工程专业对于计算机硬件基础。对于专业数电的朋友可能就是小巫见大巫了,笔者才疏学浅,仅供本专业基础课程同学的参考,如有错误希望大佬斧正! 先来看下下面这道题: 试画出图(a)所示电路中触发器输出Q1、Q2端的波形,CLK的波形如图(b)所

    2024年02月02日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包