Verilog 实现优先编码器的两种方式

这篇具有很好参考价值的文章主要介绍了Verilog 实现优先编码器的两种方式。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1、优先编码器

1.1 定义:

 为了防止多条线信号同时有效,规定只对序号最高的有效信号线进行编码,相当于该线的优先级别最高,称为优先编码器

2、实现方式

     优先编码器可以通过  if else 语句和case语句两种方式实现。

3、示例

verilog优先编码器,FPGA升级打怪,fpga开发

输入描述:

①输入描述:

input      [8:0]         I_n

输出描述:

①输出描述:

output reg [3:0]         Y_n文章来源地址https://www.toymoban.com/news/detail-719128.html

3.1if else 语句

`timescale 1ns/1ns

module encoder_0(
   input      [8:0]         I_n   ,
   
   output reg [3:0]         Y_n   
);
    always @(I_n)  
            if (I_n==9'b111111111)
                Y_n<=4'b1111;
            else if (!I_n[8])
                Y_n<=4'b0110;
            else if (!I_n[7])
                Y_n<=4'b0111;
            else if (!I_n[6])
                Y_n<=4'b1000;
            else if (!I_n[5])
                Y_n<=4'b1001;
            else if (!I_n[4])
                Y_n<=4'b1010;
            else if (!I_n[3])
                Y_n<=4'b1011;
            else if (!I_n[2])
                Y_n<=4'b1100;
            else if (!I_n[1])
                Y_n<=4'b1101;
            else
                Y_n<=4'b1110;

endmodule

3.2case语句

  • case endcase 
  • 当数据中存在X要采用casex
  • case进行全等匹配,casez忽略?z对应的位进行匹配,casex忽略x?z对应的位进行匹配。
  • default要加上避免出现锁存器。
`timescale 1ns/1ns

module encoder_0(
   input      [8:0]         I_n   ,
   
   output reg [3:0]         Y_n   
);
    always @(I_n)  
    
        casex(I_n)
         9'b111111111: Y_n<=4'b1111;
         9'b0xxxxxxxx: Y_n<=4'b0110;
         9'b10xxxxxxx: Y_n<=4'b0111;
         9'b110xxxxxx: Y_n<=4'b1000;
         9'b1110xxxxx: Y_n<=4'b1001;
         9'b11110xxxx: Y_n<=4'b1010;
         9'b111110xxx: Y_n<=4'b1011;
         9'b1111110xx: Y_n<=4'b1100;
         9'b11111110x: Y_n<=4'b1101;
         9'b111111110: Y_n<=4'b1110;
         default : Y_n<=4'b1111;
        endcase
    

endmodule

到了这里,关于Verilog 实现优先编码器的两种方式的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA】Verilog:编码器 | 实现 4 到 2 编码器

    0x00 编码器(Encoder) 编码器与解码器相反。当多台设备向计算机提供输入时,编码器会为每一个输入生成一个与设备相对应的信号,因此有多少比特就有多少输出,以数字形式表示输入的数量。 例如,如果有四个输入,就需要一个两位二进制数来表示 0 至 3,这样就有四个输

    2024年02月04日
    浏览(42)
  • FPGA VHDL文本编辑器设计8-3优先编码器并构成16-4优先编码器

    题目要求: 在文本编辑器中使用VHDL语言设计一个优先8-3编码器。在另一个新实体中将其定义成一个元件,通过元件例化的方式设计一个16-4优先编码器。文件命名为***164.vhd,器件设定为EP3C16F256C8。要求输入节点命名为d0…d15,低电平有效;输出节点命为A、B、C、D。进行波形仿

    2024年02月11日
    浏览(36)
  • 优先编码器 Priority Encoder

    优先编码器(Priority Encoder,PENC)用于判断数据最高位1出现的位置。 以 4-2优先编码器(PENC)为例,其真值表为: D3 D2 D1 D0 Q1 Q2 Vld 0 0 0 1 0 0 1 0 0 1 x 0 1 1 0 1 x x 1 0 1 1 x x x 1 1 1 0 0 0 0 x x 0 用卡诺图表示为                  Q0=D3+(~D2)D1                           

    2023年04月08日
    浏览(41)
  • 8线-3线优先编码器

    1.优先编码器 1.1定义 为了防止多条线信号同时有效,规定只对序号最高的有效信号线进行编码,相当于该线的优先级别最高,称为优先编码器。 2.例子 真值表如下: 设计代码: testbench: 仿真波形图:

    2024年02月11日
    浏览(39)
  • HDLBITS笔记9:8-3优先编码器

    为 8 位输入构建优先级编码器。 给定一个 8 位向量,输出应报告向量中的第一个位 1 。如果输入向量没有高位,则报告零。例如,输入 8\\\'b10010000 应输出 3\\\'d4,因为 bit[4] 是第一个高位。 从上一个练习(always_case2),案例陈述中将有256个案例。如果支持的事例语句中的事例

    2024年02月06日
    浏览(34)
  • HDLBITS笔记8:4-2优先编码器

    优先级编码器 是一种组合电路,当给定输入位矢量时,输出矢量中第一个1位的位置。例如,给定输入 8\\\'b10010000 的 8 位优先级编码器将输出 3\\\'d4,因为 bit[4] 是第一个高位。 构建 4 位优先级编码器。对于此问题,如果没有输入位为高(即输入为零),则输出为零。 注意:题目

    2024年02月02日
    浏览(64)
  • Vivado 带有优先级的8_3编码器(含测试代码)

    本篇文章使用 Verilog 语言编写实现带有优先级的83译码器,含有设计代码和测试代码。 一、输入输出和真值表 二、设计代码 (1)第一种写法 使用 if else 语句控制输出 (2)第二种写法 使用 式子?结果一:结果二 语句控制输出 三、测试代码 (1)第一种写法 使用 直接赋值 语

    2024年02月11日
    浏览(28)
  • 编码器测速原理与实现

    通常情况下编码器旋转一周会输出固定的脉冲数,即编码器的分辨率,通过测量固定时间T内编码器输出的脉冲数即可求得电机的转速。 假设编码器的分辨率为P,T时间内测得脉冲数m个,则单倍频(编码器转动一圈输出的脉冲数与分辨率相同)情况下电机转速为: (其中m/p为

    2024年02月17日
    浏览(41)
  • 深度学习之自编码器实现——实现图像去噪

           大家好,我是带我去滑雪!         自编码器 是一种无监督学习的神经网络,是一种数据压缩算法,主要用于数据降维和特征提取。它的基本思想是将输入数据经过一个编码器映射到隐藏层,再通过一个解码器映射到输出层,使得输出层的结果与输入层的结果尽可能

    2024年02月06日
    浏览(34)
  • 自编码器简单介绍—使用PyTorch库实现一个简单的自编码器,并使用MNIST数据集进行训练和测试

    自编码器是一种无监督学习算法,用于学习数据中的特征,并将这些特征用于重构与输入相似的新数据。自编码器由编码器和解码器两部分组成,编码器用于将输入数据压缩到一个低维度的表示形式,解码器将该表示形式还原回输入数据的形式。自编码器可以应用于多种领域

    2023年04月27日
    浏览(60)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包