SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符)

这篇具有很好参考价值的文章主要介绍了SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

        任何时候使用了逻辑运算符(如“and”和“or”)的序列中指定了时间窗,就有可能出现同一个检验具有多个匹配的情况。“ first match”构造可以确保只用第一次序列匹配,而丢弃其他的匹配。当多个序列被组合在一起,其中只需时间窗内的第一次匹配来检验属性剩余的部分时,“ first match”构造非常有用。
        当检验属性p30时,第一次匹配保留下来,其他匹配都被丢弃了。

sequence s30a;
	@(posedge clk) a ##[1:3] b;
endsequence

sequence s30b;
	@(posedge clk) c ##[2:3] d;
endsequence

property p30_fm;
	@(posedge clk) first_match(s30a or s30b);
endproperty

property p30;
	@(posedge clk) s30a or s30b;
endproperty

a30: assert property(p30);
a30_fm: assert property(p30_fm);

        在上面的例子中,属性用运算符“or”将两个序列组合在起。这个属性的几个可能的匹配如下所示。

a ##1 b;
a ##2 b;
a ##3 b;
c ##2 d;
c ##3 d;

        图1-32显示了属性p30在模拟中的响应。图中显示了两次成功,分别在时钟周期3和9在时钟周期3的成功基于序列(c##2d)的匹配。在时钟周期9的成功基于序列(a##1b)的匹配。在这两种情况中,第一次序列匹配就使得整个属性成功。
SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符),Systemverilog,verilog,fpga开发



 文章来源地址https://www.toymoban.com/news/detail-725233.html

到了这里,关于SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Kubernetes 1.25版本 入门学习系列文档

    Kubernetes 官方文档       https://kubernetes.io/zh-cn/docs/home/ Kubernetes 1.25版本 入门学习系列文档: 一、Kubernetes基本介绍和功能架构 https://blog.csdn.net/u011837804/article/details/128350286 二、基于kubeadm安装kubernetes1.25集群第一篇 https://blog.csdn.net/u011837804/article/details/128350651 三、基于kubeadm安

    2024年02月16日
    浏览(23)
  • Kubernetes ≥ 1.25 Containerd配置Harbor私有镜像仓库

    containerd 实现了 kubernetes 的 Container Runtime Interface (CRI) 接口,提供容器运行时核心功能,如镜像管理、容器管理等,相比 dockerd 更加简单、健壮和可移植。 从docker过度还是需要一点时间慢慢习惯的,今天来探讨containerd 如何从无域名与权威证书的私有仓库harbor,下载镜像! c

    2024年01月15日
    浏览(34)
  • 【Android 从入门到出门】第一章:Android开发技能入门指南

    🤵‍♂️ 个人主页:@艾迦洼的个人主页 ✍🏻作者简介:后端程序猿 😄 希望大家多多支持,如果文章对你有帮助的话,欢迎 💬👍🏻📂 目录 👋 第一章:Android开发技能入门指南 ⚽️ 1. 技术要求 ⚽️ 2. 使用变量和习惯用法在Kotlin中编写第一个程序 ⚾️ 2.1 准备 ⚾️

    2024年02月06日
    浏览(38)
  • ​k8s 1.24 1.25 集群使用docker作为容器

    背景 在新版本Kubernetes环境(1.24以及以上版本)下官方不在支持docker作为容器运行时了,若要继续使用docker 需要对docker进行配置一番。需要安装cri-docker作为Kubernetes容器 查看当前容器运行时 安装docker 安装cri-docker 为kubelet配置容器运行时 关于 https://www.oiox.cn/ https://www.oiox.cn

    2024年02月12日
    浏览(49)
  • 大数据技术原理与应用——第一章

    三次信息化浪潮 信息化浪潮 时间 标志 解决的问题 第一次信息化浪潮 1980 个人计算机 信息处理 第二次信息化浪潮 1995 互联网 信息传输 第三次信息化浪潮 2010 大数据、云计算、互联网 信息爆炸 大数据的技术支撑 数据产生方式 数据量大 “大数据摩尔定律”:人类产生的数

    2024年01月16日
    浏览(36)
  • Android SDK 上手指南||第一章 环境需求||第二章 IDE:Eclipse速览

    这是我们系列教程的第一篇,让我们来安装Android的开发环境并且把Android SDK运行起来! 介绍 欢迎来到Android SDK入门指南系列文章,如果你想开始开发Android App,这个系列将从头开始教你所须的技能。我们假定你没有任何编程技能,当然,有经验当然更好。 我们将从安装Andro

    2024年02月14日
    浏览(38)
  • 微服务 第一章 Java线程池技术应用

    第一章 Java线程池技术应用 介绍Java的线程、线程池等操作 1.1.1、改造成主线程常驻,每秒开启新线程运行 1.1.2、匿名内部类 1.1.3、缺点 继承了Thread类之后,就不能继承其他类 1.1.4、扩展知识:Java内部类 成员内部类(外部类内部使用,外部类外部使用) 1.1.4.1、静态内部类

    2024年02月08日
    浏览(35)
  • 第一章 Linux系统服务:Apache安装及配置应用

    1.1http与html 1.2浏览器访问网站的过程 1.3HTTP工作机制 1.4版本 1.5HTTP方法 1.6.http状态码 1.7请求报文 1.8 响应报文 1.Apache基础知识 2.Apache配置文件位置 1.LAMP平台概述 2.LAMP各组件主要作用 3.构建LAMP平台顺序 1. prefork模式(默认模式) 2. worker模式 3. event模式 1.1http与html HTTP:为解决

    2024年02月02日
    浏览(33)
  • 游戏窗口无损缩小/放大工具 Lossless Scaling V2.2.5 官方中文 免安装【1.25M】

    无损缩放可让您使用最先进的空间缩放算法、锐化算法和机器学习将窗口游戏升级到全屏。 海洋空间规划 AMD FidelityFX 超分辨率 (AMD FSR) 英伟达图像缩放 (NIS) 整数缩放 最近的邻居 xBR 动漫4K 夏普双线性 双立方 CAS 如果您无法以本机屏幕分辨率(GPU 限制)运行现代游戏并

    2024年02月05日
    浏览(32)
  • HarmonyOS应用开发-第一章-DevEco Studio的安装

            本栏可以帮助正在学习HarmonyOS应用开发的开发者快速上手和掌握。         首先下载DevEco Studio(HarmonyOS应用的集成开发境),点击:IDE下载页面,点击立即下载。         下载完成后,双击运行安装程序,进入安装向导。         随后一直点击 \\\"Next\\\" 直到安装完成

    2024年02月17日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包