等精度频率计verilog,quartus仿真视频,原理图,代码

这篇具有很好参考价值的文章主要介绍了等精度频率计verilog,quartus仿真视频,原理图,代码。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:等精度频率计设计verilog quartus仿真

软件:Quartus

语言:Verilog

要求:

A:测量范围信号:方波

    频率:100Hz~1MHz;

B:测试误差:<0.1%(全量程)

C:时钟频率:50kHz

D:预闸门时间:01s

E:系统时钟频率:50MHz

F:频率计算:保留1位小数

本代码下载:等精度频率计设计verilog,quartus仿真_Verilog/VHDL资源下载

FPGA代码下载网:hdlcode.com

部分代码展示

//运算锁存模块
module latch_buf(
input clk_in,//输入50K基准时钟
input doors_open,
input [31:0]CNT1_buf,//输出基准时钟计数器
input [31:0]CNT2_buf,//输出待测频率计数器
output [31:0]total_frequency//输出频率
);
reg [31:0] CNT1_bufc=32'd0;//基准时钟计数器寄存器
reg [31:0] CNT2_bufc=32'd0;//待测频率计数器寄存器
reg door_1s_buf=0;
always@(posedge clk_in)
door_1s_buf<=doors_open;
wire door_1s_negedge;
assign door_1s_negedge=~doors_open & door_1s_buf;//闸门信号下降沿
always@(posedge clk_in)
if(door_1s_negedge==1)begin
CNT1_bufc<=CNT1_buf;//基准频率计数
CNT2_bufc<=CNT2_buf;//待测频率计数
end
//保留1位小数,即再乘以10
//待测频率计算=CNT2_buf*50000*10/CNT1_buf
wire [63:0] mul_result;
assign mul_result=CNT2_bufc*32'd500000;
assign total_frequency=mul_result/CNT1_bufc;//单位0.1Hz
endmodule

设计文档:

1. 工程文件

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

2. 程序文件

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

3. 程序编译

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

4. RTL图

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5. 仿真图

整体仿真图

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5.1 分频模块

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5.2 闸门信号产生模块

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5.3 计数模块

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5.4 运算锁存模块

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

5.5 数码管显示模块

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

等精度频率计verilog,quartus仿真视频,原理图,代码,fpga开发

设计文档.doc文章来源地址https://www.toymoban.com/news/detail-727815.html

到了这里,关于等精度频率计verilog,quartus仿真视频,原理图,代码的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于51单片机数字频率计仿真设计(proteus仿真+程序+原理图+参考报告+器件清单)

    (proteus仿真+程序+原理图+参考报告+器件清单) 仿真图proteus 8.9 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:C0052 由51单片机+信号输入+74HC14整形电路+74HC390分频电路+LCD1602显示模块+电源构成。 1、能测出正弦波、三角波或方波等波形的频率; 2、频率的测量范围为1Hz—

    2024年02月09日
    浏览(35)
  • 数字频率计

      电子技术应用实习 目录 1  实习目的、内容和要求 1 1.1 实习目的 1 1.2 实习内容 1 1.3 实习要求 1         1.3.1设计要求..................................................................................................................1 1.3.2实习任务要求 2 2  设计原理及软件简介 3 2.1设计原理 3 2.2M

    2023年04月18日
    浏览(31)
  • 基于FPGA的频率计

    好久没更了,百忙之中写一篇so easy的代码——基于FPGA的频率计设计。 废话不多说,下面是百度搜索关于频率计的简洁概念。 数字频率计是一种基本的测量仪器,被广泛应用于航天、电子、测控等领域。基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,

    2024年02月12日
    浏览(36)
  • FPGA—简易频率计(附代码)

    目录 1. 内容概要 2. 理论学习 3. 实操 3.1 整体设计 3.2 频率计算模块 3.2.1 模块框图 3.2.2  波形图绘制 3.2.3  RTL代码 3.3 顶层模块 3.4 仿真验证 3.5 上板验证 4. 总结        频率测量在电子设计领域和测量领域经常被使用,本文讲解 等精度测量法 的原理和实现方法,使用FPGA 设

    2024年02月09日
    浏览(33)
  • 【FPGA & Modsim】数字频率计

    module flag(clk,rst_n,cnt); input clk; input rst_n; output [2:0]cnt ; reg[31:0]count ; reg [2:0]cnt; always@(posedge clk or negedge rst_n) begin if(~rst_n)begin count=0 ; cnt=3\\\'d0 ;end else if (count= 32\\\'d24 999) begin cnt=cnt+1\\\'b1 ;count=32\\\'d0 ; end else if (cnt=3\\\'d6) begin cnt=0;end else count=count+1\\\'b1 ; end endmodule \\\'timescale 1 ns/ 1ps / module seg (

    2024年01月16日
    浏览(38)
  • 基于51单片机的频率计

    前言:设计一个能产生固定频率的电路,然后经过单片机处理后显示该固定频率的系统。 1、指标以及功能要求 指标:该系统要能够产生一个31KHz的方波,进过单片机脉冲采集后能够在液晶上显示出该频率。要求:所用的知识要涉及到模拟电路知识和数字电路知识。 2、设计分

    2024年02月09日
    浏览(42)
  • VHDL实现数字频率计的设计

    当设计文件加载到目标器件后,拨动开关的K1,使其置为高电平,从输入输出观测模块的输入端输入一个频率大于1Hz的时钟信号,这时在数码管上显示这个时钟信号的频率值。如果使拨动开关置为低电平,数码管上显示的值为系统上的数字信号源的时钟频率。改变数字信号源

    2024年02月02日
    浏览(53)
  • 【单片机】STM32单片机频率计程序,外部脉冲计数程序,基于脉冲计数的频率计程序,STM32F103

    两种方法用于在单片机中实现频率计的功能。 第一种方法是通过定时器来衡量信号的周期,然后将周期转换为频率。在这种方法中,你可以使用单片机的定时器模块来测量输入信号的周期,定时器会产生一个计数值,你可以根据这个计数值来推算出输入信号的周期,并通过简

    2024年02月11日
    浏览(41)
  • 基于FPGA的数字频率计的设计与实现

    基于FPGA的数字频率计的设计与实现 数字频率计是一种重要的电子测试仪器,它可以用来测量信号的频率和周期等参数,被广泛应用于科学研究、工程设计及生产制造等领域。本文将介绍一种基于FPGA的数字频率计的设计与实现方法,并给出相应的源代码。 一、设计原理 数字

    2024年01月23日
    浏览(41)
  • 基于51单片机数字频率计的设计与实现

    目录 第一章 系统原理与总体设计 1.1系统组成 1.2系统原理 1.3测量原理 1.4频率测量与总体设计 第二章 硬件电路设计 2.1硬件电路框图 2.2数字频率计原理图 2.3硬件电路设计 第三章 软件程序设计 3.1程序流程图 3.2显示电路程序设计 3.3 定时器初始化程序设计 3.4中断控制程序设计

    2024年02月08日
    浏览(39)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包