各种加法器的比对分析与Verilog实现(2)

这篇具有很好参考价值的文章主要介绍了各种加法器的比对分析与Verilog实现(2)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

      本文将介绍Kogge-Stone加法器和brent-kung加法器的原理,在下一篇博客中我将用Verilog进行实现。

目录

1. 并行前缀加法器(Parallel-Prefix Adder, PPA) 

2. Kogge-Stone加法器原理

3. brent-kung加法器原理


1. 并行前缀加法器(Parallel-Prefix Adder, PPA) 

       为了减少AND门的深度,PPA对CLA进行了进一步优化。不过PPA和CLA进行的计算流程大致一致,只是在计算进位Ci的时候进行了充分的并行优化。在PPA的设计中,主要有两种结构组件:processing component 和 buffer component,两种结构的构造和逻辑意义如下:

kogge stone加法器,FPGA求学之路,fpga开发

        目前的多种PPA变体,其主要设计思路是在加法器范围、电路深度、节点输出数量和整体布线四点上做出均衡。常见的变体有下面三种(这里的结构图是递推的意思):

kogge stone加法器,FPGA求学之路,fpga开发
Sklansky
kogge stone加法器,FPGA求学之路,fpga开发
Kogge-Stone
kogge stone加法器,FPGA求学之路,fpga开发
Beaumont-Smith

2. Kogge-Stone加法器原理

参考文献:

A Parallel Algorithm for the Efficient Solutionof a General Class of Recurrence Equations

       Kogge-Stone加法器是利用Peter M. Kogge和Harold S.Stone于1972年提出的一种并行算法生成的一种树形加法器。

       下面是我的一部分理解:

kogge stone加法器,FPGA求学之路,fpga开发

       使用CLA的计算流程:

kogge stone加法器,FPGA求学之路,fpga开发

       可以把它抽象为下面的数学问题:

kogge stone加法器,FPGA求学之路,fpga开发

       为解决如上问题,论文中定义了下式:

kogge stone加法器,FPGA求学之路,fpga开发

       从而可以把问题转化为:

kogge stone加法器,FPGA求学之路,fpga开发

       因此递推公式如下:

kogge stone加法器,FPGA求学之路,fpga开发

        Q(i,1)和Q(2i,i+1)可以独立计算,Q(i,1)和Q(2i,i+1)又可以单独分解独立计算,每个层级都可以独立计算,因此加快了运算速度。

       然后就出现一张神奇的递推结构图(x8的计算图例):

kogge stone加法器,FPGA求学之路,fpga开发

       以及X1至X8的计算步骤、系数与公式表:

kogge stone加法器,FPGA求学之路,fpga开发

       因此,可以比较快速的得出各项进位Ci:

kogge stone加法器,FPGA求学之路,fpga开发

       对于C1-C4等的生成,其算式如下:

kogge stone加法器,FPGA求学之路,fpga开发

        这就是之前这张图的来源(就是把论文那张递推结构图倒过来)

kogge stone加法器,FPGA求学之路,fpga开发

3. brent-kung加法器原理

       brent-kung加法器是树形结构,由Richard P.Brent 和 H.T.Kung教授于上世纪80年代提出。设计的思想类似于超前进位加法器,但是brent和kung教授充分的考虑了开销和电路结构本身的拓扑学特性,针对性的升级了超前进位加法器的性能,使其达到了N比特加法器延迟正比于logN, 面积正比于N的效果。

       Brent-Kung加法器定义了以下运算“o”:

kogge stone加法器,FPGA求学之路,fpga开发

        也就是:

  • 输入p,g,g’,p’,输出g+p.g’
  • 输入p,g,g’,p’,输出p.p’

       可以用归纳出下面这个结论:

kogge stone加法器,FPGA求学之路,fpga开发

       当n=8时,(G8,P8)的计算方法如下图:

kogge stone加法器,FPGA求学之路,fpga开发

       其中白色圈表示数据传递,数据前后没有变化;黑色圈表示上文中定义的“o”操作,其计算示意图如下:

kogge stone加法器,FPGA求学之路,fpga开发

        在T=3时刻G8和P8的计算如下:

        (G8,P8)=(g8,p8)o(g7,p7)o(g6,p6)o(g5,p5)o(g4,p4)o(g3,p3)o(g2,p2)o(g1,p1)

       对于一般问题(Gi,Pi),i=1,2,3,…N的计算,其结构图如下:

kogge stone加法器,FPGA求学之路,fpga开发

       brent-kung加法器主要展示了一种在电路上无法直接减少面积增加速度的情况下,进行数学上的抽象建模并优化结构的思路。二进制可以表示一切函数关系,这是二进制的完备性,因此我们可以把一切电路问题优化为数学问题进而求解,这种一种非常重要的思路。

       从逻辑层数,扇入扇出和布线拥塞度三个方面看该树形加法器,Brent-Kung加法器的拓扑结构经历逻辑层数较多,需要(2logN–1)级,扇入扇出和布线拥塞度较低。

体会:我认为,不论是Kogge-Stone方法还是brent-kung方法,本质上都是在CLA的基础上,对Ci=Gi+Pi·Ci-1这个递推式进行转化,用类似于整体代换的思想,解决CLA中随着i增大,Ci通项表达式复杂度疯狂上升的问题。有点类似于编程里从算法上降低时间复杂度的感觉。但是这必然会造成空间复杂度的上升,在硬件上的体现可能就是布线更加拥堵。

参考链接:

Kogge-Stone加法器原理与设计

Brent-Kung树形加法器原理与设计

求学路上,你我共勉(๑•̀ㅂ•́)و✧文章来源地址https://www.toymoban.com/news/detail-734530.html

到了这里,关于各种加法器的比对分析与Verilog实现(2)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • verilog数组的定义、转换和加法器的实现

    看了别人的博客有的人也称reg [31:0] add0[0:12]这样的数组为二维数组,其实中二维数组不是真正意义上的数组,而是由多个寄存器组成的ROM或者RAM。我觉得这样理解好记一点:这个是一维数组,一共有0到12共13组数据,每组数据的宽度是0到31一共32个位宽。 优势:简单易于编程

    2024年02月11日
    浏览(38)
  • 【FPGA】Verilog:二进制并行加法器 | 超前进位 | 实现 4 位二进制并行加法器和减法器 | MSI/LSI 运算电路

    0x00 并行加法器和减法器 如果我们要对 4 位加法器和减法器进行关于二进制并行运算功能,可以通过将加法器和减法器以 N 个并行连接的方式,创建一个执行 N 位加法和减法运算的电路。 4 位二进制并行加法器 4 位二进制并行减法器

    2024年02月05日
    浏览(51)
  • 【FPGA】Verilog:BCD 加法器的实现 | BCD 运算 | Single-level 16 bit 超前进位加法器 | 2-level 16-bit 超前进位加法器

    0x00 BCD 运算 在 BCD 中,使用4位值作为操作数,但由于只表示 0 到 9 的数字,因此只使用 0000 到 1001 的二进制数,而不使用 1010 到 1111 的二进制数(don\\\'t care)。 因此,不能使用常规的 2\\\'complement 运算来计算,需要额外的处理:如果 4 位二进制数的运算结果在 1010 到 1111 的范围

    2024年02月05日
    浏览(41)
  • FPGA学习笔记(1):使用Verilog实现常见的加法器

    本文使用VerilogHDL实现一些简单的加法器,本人水平有限,希望大佬能够多指证 Quartus Prime(18.0) Modelsim 半加器可以用于计算两个单比特二进制数的和,C表征进位输出,S表述计算的结果。 半加器的真值表 化简以后的逻辑表达式可以表达为: s = a’b+ab’ c = ab Verilog 代码块 全加

    2024年02月09日
    浏览(40)
  • Verilog 加法器/减法器

    目录 1位加法器 8位加法器 8位补码加减法器  32位补码加减法器       

    2024年02月11日
    浏览(37)
  • 【verilog】6位二进制数加法器

    1:掌握无符号二进制数加法器的设计方法。 2:掌握使用Modelsim对verilog程序进行仿真和验证的方法。 二进制加法器由全加器构成,全加器功能和电路图如下图所示。 全加器对两个1位二进制数和1位来自低位的进位做加法运算,产生1位和和1位向更高位的进位。图中(a)是全加

    2024年02月06日
    浏览(36)
  • verilog全加器和四位加法器

    半加器: 保存为half_addr.bsf之后,可以在该项目中添加半加器 全加器: 通过RTL-Viewer查看半加器和全加器 添加全加器到项目 在process里面先后执行start fitter 和start time analyzer 生成testbench模板 修改testbench文件: 添加到项目 注意在联合modelsim时让generate functinal simulation netlist值为

    2024年02月07日
    浏览(41)
  • Verilog数字系统设计——带进位的8位加法器

    试分别使用门级原语和always 语句设计带进位的8位加法器,要求编制测试模块对实现的逻辑功能进行完整的测试; 使用门级原语设计时注意先在草稿上做出该加法器的门级设计; 如有余力可以进一步使用门级原语设计出带超前进位链的8位加法器(期末有加分); 实验提交

    2024年02月15日
    浏览(42)
  • [FPGA]用Verilog写一个简单三位二进制加法器和减法器

    加法器和减法器是数字电路中的基本组件,它们可以对二进制数进行算术运算。加法器可以将两个或多个二进制数相加,得到一个和和一个进位。减法器可以将两个二进制数相减,得到一个差和一个借位。加法器和减法器可以用来实现更高级的运算,例如乘法、除法、移位等

    2024年02月04日
    浏览(40)
  • Verilog设计4位CLA加法器电路,并仿真测试

    使用Quartus+modelsim完成本次设计 对于超前进位加法器 (CLA)加法器,它不同于普通加法器。如果对于两个1024位的数字进行相加,那么普通的串行加法器因为只有算出 C x C_{x} C x ​ 才能继续计算 C x + 1 C_{x+1} C x + 1 ​ ,这会导致整体的效率非常低。如果使用超前进位加法器,那

    2024年02月04日
    浏览(46)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包