HNU-电子测试平台与工具-开关信号实验报告

这篇具有很好参考价值的文章主要介绍了HNU-电子测试平台与工具-开关信号实验报告。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

该报告最后的得分为100分/100分

作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答。

如果喜欢可以点个赞哦,感谢。

开关信号实验报告

班级:计XXXXX

学号:2021XXXXXXXX

姓名:wolf

目录

1 实验项目一

1.1 项目名称

1.2 实验目的

1.3 实验资源

2 实验任务

2.1 实验任务A

2.2 实验任务B

2.3 实验任务C

3 总结

3.1 实验中出现的问题

3.2 心得体会

  1. 实验项目一
    1. 项目名称

开关电路和按键信号抖动

    1. 实验目的

1) 认识开关电路,掌握按键状态判别、开关电路中逻辑电平测量、逻辑值和逻辑函数电路。

2) 掌握按键信号抖动简单处理方法。

3) 实现按键计数电路

    1. 实验资源

HBE硬件基础电路实验箱、示波器、万用表

按键开关(4端子)、带自锁按钮开关(6端子,单刀双掷)、74LS160芯片

  1. 实验任务
    1. 实验任务A

任务名称:认识开关电路,掌握按键状态判别、开关电路中逻辑电平测量、逻辑值和逻辑函数电路。

搭建电路如图所示:按键开关电路A和按键开关电路B,并使用万用表检测按键开关状态时测量点的电压值并进行记录。

高电平有效:当按钮按下时,测量电压为高。

低电平有效:当按钮按下时,测量电压为低。主要用于控制信号,抗干扰能力强。

 HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

【按键开关电路A】

按键开和关时测量点电压分别为:

按键开:0,

按键关:5.02V,

高电平有效

按键开:

 HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

按键关:

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

【按键开关电路B】

按键开和关时测量点电压分别为:

按键开:5.06V,

按键关:0,

低电平有效

按键开:

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

按键关:

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

选用按钮型电平开关实现带LED灯显示开关电路。请说明灯状态所指示的开关状态,通过测点电压值简述理由(直流电压源输入3.3V)。

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件 

 

    1. 实验任务B

任务名称:掌握按键信号抖动简单处理方法

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

【*】左图,示波器设定为单通道捕获,正常模式,下降沿触发。20次按键按下动作记录信号下降沿抖动现象出现次数。

抖动次数:15

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

【*】右图,示波器设定为单通道捕获,正常模式,下降沿触发。20次按键按下动作记录信号下降沿抖动现象出现次数。

抖动次数:12

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

【*】右图上按键两端子间依次单独并联0.01uf电容后波形、0.1uf 和0.47uf电容后,各选择记录一次比较典型的平滑的波形。

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

    1. 实验任务C

任务名称:实现按键计数电路

HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 HNU-电子测试平台与工具-开关信号实验报告,# 电子测试平台(10+4实验+答案),单片机,stm32,嵌入式硬件

 

  1. 总结
    1. 实验中出现的问题

3.1.1实验任务A中存在的问题

  1. 第一次接触开关电路,对于开关电路的高低电平以及对应的图像不是很了解,需要多次操作来加深了解。
  2. 对于开关电路实现功能的原理不是十分了解,需要更加深入基础层面去把控。

3.1.2实验任务B中存在的问题

  1. 对于示波器的使用还存在不熟练的问题,上升沿和下降沿的把控难以迅速反应。
  2. 对于示波器抖动截屏的触发电压还需要进一步把控。

3.1.3实验任务C中存在的问题

  1. 对于电路的连接还是有不足的地方,出现断路的导线仍然没有第一时间排除掉。
  2. 正确连接电路后仍然出现没有出现预期现象的情况,初步推断可能是线路仍然存在问题,但是经过检查没能排除。目前效果为可出现所有数字。
    1. 心得体会

电子测试与工具这门实验还是十分有趣的,但是在有趣的同时也对我们的专业知识以及细心程度提出了更多的要求。比如对于输入输出电压的敏感性、对于电阻以及各种导线的连接,对于芯片输入输出性质的把控等等。这些都是十分细致的,容不得半点马虎。

在开关信号的实验过程中,我也学习到了许多电子电路的基础知识,同时也验证了一些以前的想法,纠正了一些以前的错误预判。这些都对于我的继续学习有着很大的帮助。

最后感谢耐心负责的老师与热心帮助的同学给予我的支持。文章来源地址https://www.toymoban.com/news/detail-734945.html

到了这里,关于HNU-电子测试平台与工具-开关信号实验报告的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • HNU-电子测试平台与工具-RLC交流电路测量报告

    该报告最后的得分为 92分/ 100分 。 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答。 如果喜欢可以点个赞哦,感谢 一.实验目的 1. 熟悉测量RLC元器件的交流电压、电流。 2. 熟悉测量RLC串联和并联交流电路的电压、电流。 二.实验

    2024年02月08日
    浏览(28)
  • HNU-电子测试平台与工具2-I2C

    FPGA I2C接口实现 计科210X wolf 202108010XXX 报告内容将包括:     (1)如何描述组合电路、时序电路、状态机?如何编写TestBench?     (2)ModelSim工具的使用;     (3)EEPROM读写代码分析; (4)实验总结; 注意:其中(1)将在自定FSM中以实例的方式呈现,(2)将在(

    2024年04月09日
    浏览(35)
  • 电力电子技术课程实验:实验一、DC/DC直流斩波电路制作与性能测试

    直流斩波电路的工作原理是什么?有哪些结构形式和主要元器件? 答:直流斩波电路的工作原理:将直流信号变化成有信号幅值的脉冲信号。 结构形式:降压斩波电路、 升压斩波电路、升降压斩波电路。 主要元器件:晶体管、IGBT、电容、电感、PWM集成电路(SG3525)和电阻

    2024年02月02日
    浏览(37)
  • 汽车电子中的TC8测试

    Tech Committee,简称TC。 其中TC8定义了测试流程并支持建立能够执行ECU测试的测试机构,并建立对测试规范和合作伙伴要求的定期审核,以提高汽车系统中以太网ECU和网络的通信质量。 一:主要以TCPIP协议栈的链路层以上为主,包括ARP、ICMPv4、IPv4、UDP、TCP、DHCP、SOMEIP等协议的测

    2023年04月18日
    浏览(24)
  • HNU电路电子学实验二

    1.了解简易模型机的内部结构和工作原理。 2.熟悉译码器、运算器的工作原理。 3.分析模型机的功能,设计指令译码器。 4.分析模型机的功能,设计 ALU。 用 VERILOG 语言设计指令译码器; 用 VERILOG 语言设计ALU。 A)创建工程(选择的芯片为 family=Cyclone II;name=EP2C5T144C8)

    2024年02月05日
    浏览(32)
  • 电子产品如何设计和实施上下电压力测试方案?

    目录 一、引言 二、测试方案步骤 三、设计方案介绍 3.1 设计思路 3.2 实现方法说明 3.2.1 测试板原理框图 3.2.2 跳变沿检测法 3.2.2.1 接线方式 3.2.2.2 检测流程 3.2.2.3 参考代码  3.2.3 字符串匹配法 3.2.3.1 接线方式 3.2.3.2 检测流程 3.2.3.3 实现代码 3.2.4 继电器控制法 3.2.4.1 接线方式

    2024年02月03日
    浏览(36)
  • HNU-电路与电子学-实验1

    班级     计XXXXX         姓名      wolf        学号      2021080XXXXX      一、实验目的 1.掌握金属箔式应变片的应变效应,电桥的工作原理。 2.学会使用应变片原理建立电子称传感器模型。 3.学会设计电桥、滤波器和放大器。 4.学会使用模数转换器。 5. 加深对

    2024年02月08日
    浏览(38)
  • HNU-电路与电子学-实验3

    班级     计XXXXX         姓名      wolf        学号      2021080XXXXX    一、实验目的 1.了解简易模型机的内部结构和工作原理。 2.分析模型机的功能,设计 8 重 3-1 多路复用器。 3.分析模型机的功能,设计移位逻辑。 4.分析模型机的工作原理,设计模型机控制

    2024年02月04日
    浏览(30)
  • HNU-电路与电子学-实验4

    班级     计XXXXX         姓名     wolf        学号      2021080XXXXX     一、实验目的 1.了解模型机中 SM 的作用。 2.熟悉指令寄存器、状态寄存器、指令计数器、寄存器的工作原理 3.学会使用 VERILOG 语言设计时序电路。 二、实验内容 1.用 VERILOG 语言设计 SM; 2.

    2024年02月05日
    浏览(29)
  • 汽车电子测试标准:ISO 7637-2 & ISO 16750-2

    在汽车电子中,电源瞬态浪涌可能对电子设备造成永久的损坏。随着汽车上电子设备应用的逐年增多,各家汽车厂商在实践中积累了宝贵的经验,发现在某些特定场景下的电源瞬态变化更易对设备造成损坏。因此车厂都制定相关的测试规范,重点测试在这些电源瞬态下,电子

    2024年02月09日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包