多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码

这篇具有很好参考价值的文章主要介绍了多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:多功能频率计周期、脉宽、占空比、频率测量verilog

软件:Quartus

语言:Verilog

代码功能:

   多功能频率计,可测量信号的周期、脉冲宽度、占空比、频率,语言为verilog,quartus软件设计仿真

代码下载:多功能频率计周期、脉宽、占空比、频率测量verilog_Verilog/VHDL资源下载名称:多功能频率计周期、脉宽、占空比、频率测量verilog(代码在文末付费下载)软件:Quartus语言:Verilog代码功能: 多功能频率计,可测量信号的周期、脉冲宽度、占空比、频率,语言为verilog,quartus软件设计仿真演示视频:部分代码展示module signal_detect(input clk_in,//输入100M基准时钟input reset_p,//复位信号inhttp://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=187

部分代码展示

module signal_detect(
input clk_in,//输入100M基准时钟
input reset_p,//复位信号
input signal_in,//待测频率输入
output [31:0]total_frequency,//输出频率
output [31:0]total_cycle,//输出周期
output [31:0]pulse_width,//输出脉宽
output [31:0]duty_cycle//输出占空比
);
frequency_detect i_frequency_detect(
. clk_in(clk_in),//输入100M基准时钟
. reset_p(reset_p),//复位信号
. signal_in(signal_in),//待测频率输入
. total_frequency(total_frequency),//输出频率
. total_cycle(total_cycle)//输出周期
);
pulse_detect i_pulse_detect(
. clk_in(clk_in),//输入100M基准时钟
. reset_p(reset_p),//复位信号
. signal_in(signal_in),//待测频率输入
. pulse_width(pulse_width),//输出脉宽
. duty_cycle(duty_cycle)//输出占空比
);
endmodule

module frequency_detect(
input clk_in,//输入100M基准时钟
input reset_p,//复位信号
input signal_in,//待测频率输入
output [31:0]total_frequency,//输出频率
output [31:0]total_cycle//输出周期
);
//parameter count_1s=32'd100_000_000;//1s所需计数周期数(仿真时用下一句)
parameter count_1s=32'd1000;//仿真时用该句,实际应为上一句(仿真时为减小仿真时间,将闸门开启时间减小为10us)


reg [31:0] door_1s_cnt=32'd0;//闸门信号1s开启一次
always@(posedge clk_in)
	if(reset_p==1)
		door_1s_cnt<=32'd0;
	else
		if(door_1s_cnt==count_1s)
			door_1s_cnt<=32'd0;
		else
			door_1s_cnt<=door_1s_cnt+32'd1;

reg door_1s=0;
always@(posedge clk_in)
	if(door_1s_cnt==count_1s)
		door_1s<=~door_1s;//闸门信号1s开启一次

		
reg [31:0] CNT1=32'd0;//基准时钟计数器
reg [31:0] CNT2=32'd0;//待测频率计数器
reg [31:0] CNT1_buf=32'd0;//基准时钟计数器寄存器
reg [31:0] CNT2_buf=32'd0;//待测频率计数器寄存器

设计文档(文档点击可下载):

1. 工程文件

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

2. 程序文件

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

3. 原理图文件

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

4. 程序编译

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

5. Testbench

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

6. 仿真图

6.1整体仿真图

仿真图可看出测得占空比duty_cycle=20%;脉宽pulse_width=200ns,周期total_cycle=1001ns,频率total_frequency=999000Hz,其中频率误差较大(实际应为1000000Hz,误差999Hz)这是因为仿真时为减小仿真时间,使用的闸门信号为10us,闸门实际应为1s,减小了100000倍。

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

6.2局部细节,被测信号脉宽200ns,测试结果为200,正确

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

6.3局部细节,被测信号周期1000ns,测试结果为1001ns,误差1ns。(误差与频率一样,可以通过增大闸门减小误差)

多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码,fpga开发

设计文档.doc

 演示视频:多功能频率计周期、脉宽、占空比、频率测量verilog_Verilog/VHDL资源下载文章来源地址https://www.toymoban.com/news/detail-736874.html

到了这里,关于多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于51单片机的频率计

    前言:设计一个能产生固定频率的电路,然后经过单片机处理后显示该固定频率的系统。 1、指标以及功能要求 指标:该系统要能够产生一个31KHz的方波,进过单片机脉冲采集后能够在液晶上显示出该频率。要求:所用的知识要涉及到模拟电路知识和数字电路知识。 2、设计分

    2024年02月09日
    浏览(42)
  • VHDL实现数字频率计的设计

    当设计文件加载到目标器件后,拨动开关的K1,使其置为高电平,从输入输出观测模块的输入端输入一个频率大于1Hz的时钟信号,这时在数码管上显示这个时钟信号的频率值。如果使拨动开关置为低电平,数码管上显示的值为系统上的数字信号源的时钟频率。改变数字信号源

    2024年02月02日
    浏览(53)
  • 【单片机】STM32单片机频率计程序,外部脉冲计数程序,基于脉冲计数的频率计程序,STM32F103

    两种方法用于在单片机中实现频率计的功能。 第一种方法是通过定时器来衡量信号的周期,然后将周期转换为频率。在这种方法中,你可以使用单片机的定时器模块来测量输入信号的周期,定时器会产生一个计数值,你可以根据这个计数值来推算出输入信号的周期,并通过简

    2024年02月11日
    浏览(41)
  • FPGA(verilog)频率计实验——学习历程①

            本文利用verilog语言完成频率计实验,由于是第一次发文,文章格式以及描述语言请谅解,内容仅供参考,烦请各路大神指正。 数码管动态显示模块 分频模块 频率测试模块 顶层调用模块 module seg_led(     input clk,     input rst_n,     input [19:0]         data,//6个数

    2024年02月06日
    浏览(39)
  • 基于FPGA的数字频率计的设计与实现

    基于FPGA的数字频率计的设计与实现 数字频率计是一种重要的电子测试仪器,它可以用来测量信号的频率和周期等参数,被广泛应用于科学研究、工程设计及生产制造等领域。本文将介绍一种基于FPGA的数字频率计的设计与实现方法,并给出相应的源代码。 一、设计原理 数字

    2024年01月23日
    浏览(40)
  • 基于51单片机数字频率计的设计与实现

    目录 第一章 系统原理与总体设计 1.1系统组成 1.2系统原理 1.3测量原理 1.4频率测量与总体设计 第二章 硬件电路设计 2.1硬件电路框图 2.2数字频率计原理图 2.3硬件电路设计 第三章 软件程序设计 3.1程序流程图 3.2显示电路程序设计 3.3 定时器初始化程序设计 3.4中断控制程序设计

    2024年02月08日
    浏览(39)
  • 等精度频率计verilog,quartus仿真视频,原理图,代码

    名称:等精度频率计设计verilog quartus仿真 软件:Quartus 语言:Verilog 要求: A:测量范围信号:方波     频率:100Hz~1MHz; B:测试误差:0.1%(全量程) C:时钟频率:50kHz D:预闸门时间:01s E:系统时钟频率:50MHz F:频率计算:保留1位小数 本代码下载:等精度频率计设计verilog,quartus仿真

    2024年02月07日
    浏览(58)
  • 36、基于51单片机频率计 LCD 1602显示系统设计

    数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域,还被应用在计算机及各种数学仪表中。一般采用的是十进制数字,显示被测信号频率。基本功能是测量正弦信号,方波信号以及其他各种单位时间内变坏的物理量。由于其使用十进制数显示,测量

    2024年02月03日
    浏览(41)
  • 基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解)

    基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解) 仿真图proteus 8.9 程序编译器:keil 5 编程语言:C语言 设计编号:C0079 基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解) 结合实际情况,基于STM32F103单片机设计一个数字频率计仿真设计。该设计应满

    2024年02月09日
    浏览(36)
  • 孩子都能学会的FPGA:第二十五课——用FPGA实现频率计

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月02日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包