Quartus联合 ModelSim仿真及测试

这篇具有很好参考价值的文章主要介绍了Quartus联合 ModelSim仿真及测试。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

插件系列文章目录:
(1)modelsim安装使用及Vivado关联
(2)VSCode关联VIVADO编辑Verilog
(3)Modelsim观察波形–基础操作述
(4)Quartus联合 ModelSim仿真及测试


前言

Quartus联合 ModelSim仿真及测试

提示:以下是本篇文章正文内容,下面案例可供参考

一、Quartus安装与破解

1.安装

[Quartus下载链接:https://pan.baidu.com/s/1t24JZFZhVMdxzZ78Wb00IQ?pwd=0fzd
提取码:0fzd]
将 Quartus-13.0.0.156-windows.tar 解压,(选中,单击右键,选择解压到 Quartus-
13.0.0.156-windows)。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
选中 setup 文件,单击右键,选择以管理员身份运行,如下图所示:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
过一会,屏幕上即会出现如下所示的界面:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
此界面一闪而过,即进入如下所示界面:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里,我们直接点击 next 即可进入下一个页面,如下所示:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

这里是安装条款的确认,我们不用太关心,直接点击“I accept the agreement”,然后点击“next”,
就会出现以下界面:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里,我们需要指定我们软件的安装路径,默认是装到 C 盘的,这里我根据自己的软件管理习惯将其装在了 E 盘,大家可以根据自己的需求来确定安装路径。选择完成以后点击“next”,将会进入如下所示的页面:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里,第 1 项为 quartus 软件主包,为必装选项;第 2 项为 quartus 软件 64 位系统支持包,如果你的系统是 64 位的,就需要安装此包,若为 32 位的即可不用勾选,第 3 项为 quartus的帮助选项,建议安装。第 4 项为 modelsim –altera 的初学者版本,如果只是基础的学习和仿真,不涉及到相当多的代码内容,安装初学者版本即可完全满足条件。如果需要仿真很大的内容,就需要选择安装第 5 项,第 5 项使用需要 license,我们可以也可以选择破解,但是不容易破解成功,所以如果没实在的必要,就只安装初学者版本即可。第 6 项为 dspbuilder,涉及到与 matlab 联合使用,进行相关数字信号处理的开发。该包暂不支持破解,而且需要和 matlab 2012b 或更高版本配合使用,如果没有需求可以不用安装,如果确实有需求,请网上自行寻找破解方案。勾选完成后,就可以点击 next 直接运行下一步了。下一步的页面如下所示,

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里是对安装选项的一个汇总报告,我们不需要理会,直接点击 next 即可开始安装,安装过程的页面如下所示,安装过程比较漫长,大约需要 30 到 50 分钟。

2.可能出现的问题

部分用户在安装软件的过程中会遇到以下问题,即安装过程中突然报错,提示 Quartus II Help 13.0.0.156 已停止工作,这个问题是因为用户的电脑安装的是网上下载的经过删减的操作系统,这些操作系统把 windows 的帮助组件删除了,以减小系统安装包大小,而 Quartus II 的 Help 却是调用了系统的帮助组件,因为系统本身没有 windows 帮助组件,因此会在这里报错。

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里有两种解决思路:第一就是重装系统(装完整版)或者想办法恢复 windows 帮助组件(貌似很难搞成功),第二就是忽略这个问题,因为在此过程中,Quartus II 的主要组件已经全部安装完成,只是帮助组件没有装成功,一般用到帮助组件不多,所以这里可以直接选择关闭程序,终止安装过程。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
但是因为这个过程中断,导致 modelsim –altera 没有执行安装,所以我们还需要手动的安装 modelsim-altera,方法很简单,前面提到的 compoents 文件夹下就有 modelsim-altera 的安装程序,即 ModelSimSetup-13.0.0.156.exe,双击即可执行安装。如果系统是完整的,在安装完成以后,点击“finish”即可完成安装过程。

3.软件破解

从开始菜单或桌面上运行 Quartus II13.0 软件,首次运行,会弹出以下界面,提示我们安装 license:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里我们直接选择最后一项,然后点击“OK”进入下一个页面。(提示,如果没有弹出上述窗口,可能与之前装过并破解过该软件相同或其他版本软件有关,但此时我们仍需重新破解,我们可以通过在 Quartus II 中依次点击【Tools】->【License Setup】来重新打开以下窗口。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
在这里,我们首先需要做一个工作就是选中 NIC ID,即网卡号,一般选择第一个(注意,一定只选一个,不要选多了以“,”为分割符代表一个独立的网卡号),如图中所示选中后单击鼠标右键,选择复制此网卡号。然后新建一个 txt 文本文档,将该网卡号先暂时存下来。然后关闭此界面,并关闭 quartus 主程序。回到我们原始安装包所在的目录,选中
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
并将其解压出来,解压密码为 12345。解压出来后能够看到以下三个文件

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
第一个为 64 位系统的破解工具,第 2 个为 32 位系统的破解工具,这里,我的系统为64 位的,因此选择第一个破解工具。选中,单击鼠标右键,将其解压出来,我们能够看到以下两个内容:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里,我们直接将 Quartus_13.0_SP1_x64 破解器.exe 这个文件复制,然后粘贴到你软件安装目录的 bin64 文件夹下,如我的对应就是“E:\altera\13.0\quartus\bin64”.粘贴后,选中此文件,单击右键,选择以管理员身份运行,则会出现以下界面:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
此处,直接点击应用,然后就会弹出如下所示的界面,即会生成一个 licens.dat 的文件,要求我们保存,我们先将其保存在桌面上。

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
保存完成以后,就可以关闭破解工具了,这里直接点击退出以退出破解工具。

接下来,我们需要对刚刚生成的 license.dat 文件进行一定的修改,首先选中刚刚生成的 license.dat 文件,单击右键,选择打开方式为记事本,即通过记事本打开此文件,然后将先前存在 txt 文本中的 NIC ID,即网卡号复制,将此 license 中的所有“XXXXXXXXXXXX”,都用你的网卡号替换,然后保存此文件。将其复制到一个不易被误删的文件夹下,这里我一般选择保存在软件安装目录下,这里即“E:\altera\13.0”文件夹下。(注意,这个地方一定要注意!!!!!该路径千万不能再向下了,比如” E:\altera\13.0\quartus”,甚至”E:\altera\13.0\quartus\bin64”,否则软件将不能正常识别 license,切记,推荐的位置就是E:\altera\13.0”)。然后再次运行 Quartus II 软件,在弹出的下面的页面中接着再次选择最后一项,点击OK:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode在打开的页面中,将 license file 指向你刚刚保存好的 license.dat,文件即可当出现图中所示
的内容,即表明破解成功了。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode点击 OK 即可。(以 上为 64 位 系统 的 破解 步 骤, 32 位 系统 破 解过 程 类似 , 不过 需 要 使用
Quartus_13.0_SP1_x86 破解器 , 并且将破解器拷贝到的路径 不 再 E:\altera\13.0\quartus\bin64,而是 E:\altera\13.0\quartus\bin。)

4.安装器件库

当点击 OK 后,软件会启动,并提示你没有安装任何器件,问你是否需要安装,如下图所示:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

这里选择 NO,并关闭 quartus 软件,回到你下载的 Device 文件夹下,运行 DeviceInstall-13.0.0.156.exe 文件,会弹出以下页面:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
点击 next,在接下来弹出的页面中,为选择器件安装目录:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里必须和软件安装目录一致,所以这里修改为 E:\altera\13.0,并点击 next,弹出页面如下所示:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

特别说明,本版本上述界面只能看到第一个,其他都是没有的。
第 1 个为 cyclone I 到 cycloneIV 的器件库,如果你使用 Cyclone 系列的器件,此项必选。
第 2 个为 cyclone V 代的器件库,用到就选,用不到可以不用选。
第 3 个为 CPLD 器件 MAX3000、MAX7000、MAX II、MAX V 等系列的器件库,需要使用就选,
用不到可以不选。
第 4 到第 6 个为 Stratix 系列的器件库,需要用到就选,用不到就不选。选择完成后,点击
next 即可执行安装,安装完成后即可使用软件了,软件的安装和破解到此就全部搞定了。
如果后来在使用过程中希望补装一些器件,可以按照如下方式进行:

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
这里说的说你的安装包所在目录,不是你的软件安装位置,所谓安装包 , 就 是 你 从 网 上 或 光 盘 里 下 载 下 来 的 qdz 文 件 存 放 位 置 ,你器件库下载在哪里,这个位置就是哪里,比如
E:\ BaiduYunDownload\quartus\13.0\Device

5.破解失败特征

安装完后如果编译出现下面类似的警告,不用怀疑,肯定是软件破解过程中有错误,导致破解不成功,请重新核对教程破解(不用重新安装软件)。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

二、ModelSim安装破解

参考我的modelsim安装使用
使用方法参考Modelsim观察波形-基础操作

三、联合操作

1.路径选择

第一次用modelsim+quartus的时候需要在quartus中设置modelsim的路径,quartus->tools->options->general->EDA tool options ,在右边选择modelsim的安装路径,如下图:
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

2.点击Quartus->Assignments->Settings

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
选择Simulation->Tool name选择ModelSim
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
先选择TestBenche None,点击OK

modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode

3.建立TestBench模板

我们可以通过Quartus自动生成一个Testbench的模板,选择Processing -> Start -> Start Test Bench Template Writer,等待完成后打开刚才生成的Testbench,默认是保存在simulation\modelsim文件夹下的.vt格式文件
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
Quartus打开文件,到“工程目录\simulation\modelsim"下找到.vt文件,打开,
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
自己修改文件,形成自己的TB文件。

回到Quartus->Assignments->Settings->Simulation
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
点击Test Benches-》New,Test bench name粘贴刚才复制的名字,Top level module in test bench自动填充雍阳的名字,勾选Use test bench to perform VHDL timing simulation,填写i1,File name选择"工程目录\simulation\modelsim"下的.vt文件,最后点击Add,OK关闭对话框,至此,设置完成!!下面开始仿真。
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
【注意】:Test bench name 为测试文件的模块名,并非文件名。

点击Tools -》Run Simulation tool-》RTL Simulation
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode
modelsim和quartus,Quartus插件分享,开发语言,fpga开发,vscode文章来源地址https://www.toymoban.com/news/detail-739560.html

到了这里,关于Quartus联合 ModelSim仿真及测试的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(29)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(27)
  • vivado工程转换到quartus下联合modelsim仿真

    版本信息:quartus II 13.1  、modelsim DE 10.6c vivado用习惯了,现在快速换到quartus下仿真测试。 写一个操作文档,以fpga实现pcm编码为例。 目录 一、建立工程 1、准备源码和仿真文件  2、新建工程 3、加载源文件 4、选择器件 5、仿真器配置 6、工程信息 二、配置工程 7、设置顶层文

    2024年02月03日
    浏览(24)
  • 如何用modelsim仿真包含quartus IP核的工程

    最近在仿真sdram,遇到了一些问题,现在解决了,写出来分享给大家。 问题: 使用quartus和modelsim联合仿真sdram的时候,tb文件中例化了sdram的top文件和sdram的仿真模型,这样会报错,我就想着直接在modelsim中仿真,但是由于模块中有quartus生成的ip核,只在modelsim中仿真也会报错,

    2024年02月03日
    浏览(30)
  • 在quartus ii中创建testbench,并使用Modelsim仿真

    1.创建testbench Processing→Start→Start Test BenchTemPlate Writer 得到如下提示,表示创建成功: 2.打开并编辑测试脚本 用“打开文件夹”打开工程所在的文件夹→simulation→modelsim→“文件类型”按图所示更改→选择.vt格式文件,打开 文件中,注释掉或删掉$display(“Running testbench”); 和

    2024年02月02日
    浏览(24)
  • FIFO(一) —— Quartus中FIFO IP核的学习与modelsim仿真

    1、 FIFO:(First In First Out),是有先进先出特性的缓存器,常被用于数据的缓存或者高速异步数据的交互。 2、 FIFO与普通存储器的区别在于:它没有外部读写地址线(其数据地址由内部读写指针自动加 1 完成),操作简单但不能指定某一地址。 3、主要包含两种:单时钟FIF

    2024年02月08日
    浏览(34)
  • Quartus、modelsim安装配置

      没有金刚钻,拦不了瓷器活。学习FPGA的第一步就是安装EDA。本文中将带领大家从零开始搭建FPGA开发环境。   电子设计自动化(Electronics Design Automation,EDA)是计算机为工具,设计者在EDA软件平台上,融合应用电子技术、计算机技术、信息处理及智能化技术的最新成果

    2024年02月03日
    浏览(35)
  • Quartus中打开ModelSim遇到问题汇总

    一: 问题:这类问题得原因是可能是网上某些教程要求Modelsim要勾选以管理员身份运行导致的。 解决方法:取消勾选以管理员身份运行。 二: 问题:Assignments - Settings - EDA tool Settings - tool name仿真软件没有选对。 解决方法:选择相应的正确仿真软件,注意区分ModelSim和ModelS

    2024年02月11日
    浏览(23)
  • Quartus自动生成Testbench并调用Modelsim

    在这里写个笔记,标题的全过程,万一忘了再回来看。由于公开,希望见到这篇博客的人好运连连,不脱发,不肾虚。 在程序编译完成(重点)后,先设置软件存在路径,该路径下是能找到modelsim.exe这种。 ToolsOptionsEDA tools option设置相应路径   Assignmentssetting 开始摆烂,路径

    2024年02月08日
    浏览(31)
  • QuartusⅡ+Modelsim:error loading design错误

    最近在学习verilog编程 今天在做时延与门逻辑仿真时,Modelsim怎么也出不来仿真波形,一直显示错误 Error loading design # Error: Error loading design # Pausing macro execution # MACRO ./time_delay_module_run_msim_rtl_verilog.do PAUSED at line 12 我上上下下检查了好多遍,也在网上查找了许多信息。 pass掉了许

    2024年02月03日
    浏览(22)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包