【ALINX】FPGA ZYNQ视频教程AX7020教程—02_Vivoda初体验LED灯工程

这篇具有很好参考价值的文章主要介绍了【ALINX】FPGA ZYNQ视频教程AX7020教程—02_Vivoda初体验LED灯工程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

【ALINX】FPGA ZYNQ视频教程——AX7010/AX7020教程——FPGA实验篇

02_Vivoda初体验LED灯工程

2.1 新建Vivado工程

  1. File=>New Project
  2. 工程文件命名:不能出现中文、空格等非法字符
  3. 选择芯片型号:

视频定位链接 03:45

  1. AX7020开发板速度选择“-2”;型号选择“xc7Z020clg400-2
    ax7020用户手册,fpga开发

** Vivado窗口介绍

视频定位链接05:44

2.2 添加源文件

视频定位链接 08:43

1.选择点击:窗口Source,左上角“+”;选择“Add ~ design sources”
ax7020用户手册,fpga开发

2.选择“create file” 或直接选择目录下的源文件
3.定义模块:将模块名称设计和文件名一样
ax7020用户手册,fpga开发

2.3 编辑Design Sources源文件(.v)

视频定位链接 10:21

1.选择点击:窗口Source–》Design Sources–》XXX.v模板 源文件
2.编辑源文件时,编辑框的右上角方块如果为绿色,则表示程序无误,若为红色则表示异常,可通过中间红色小块进行查找。
ax7020用户手册,fpga开发

//led.v文件代码
module led(
    input sys_clk,
    input rst_n,
    (* MARK_DEBUG="true" *)output reg [3:0] led
    );
(* MARK_DEBUG="true" *)reg[31:0] timer_cnt;
always@(posedge sys_clk or negedge rst_n)
begin
    if (!rst_n)
    begin
        led <= 4'd0 ;
        timer_cnt <= 32'd0 ;
    end
    else if(timer_cnt >= 32'd49_999_999)
    begin
        led <= ~led;
        timer_cnt <= 32'd0;
    end
    else
    begin
        led <= led;
        timer_cnt <= timer_cnt + 32'd1;
    end
    
end

Instantiate ila in source file
//ila ila_inst(
//  .clk(sys_clk),
//  .probe0(timer_cnt),
//  .probe1(led)
//  );

endmodule

2.4 RTL ANALYSIS (RTL分析)

视频定位链接 12:49

1.选择点击:左侧 RTL ANALYSIS => Open Elaborated Design
ax7020用户手册,fpga开发

2.进行引脚绑定,将FPGA的输入和输出设备绑定到FPGA的引脚上
1)切换到右下角"I/O Ports"窗口,可从标题栏 Window 中调出。
2)根据原理图或者用户手册,将外设与FPGA进行引脚绑定和电平匹配,在"Package Pin" 输入引脚号,在"I/O Std"处选择FPGA的Bank(3.3V时选择LVCMOSE33)
ax7020用户手册,fpga开发

ax7020用户手册,fpga开发

3)保存后,约束文件类型为==.XDC==
4)在Sources窗口的Constraints目录下会出现.XDC约束文件

3.SYNTHESIS (综合)
1).选择点击:左侧 SYNTHESIS => Run Synthesis 选择核心数;开始综合,右上角有指示。
2)时序约束

视频定位链接 21:29

  • 选择点击:左侧 SYNTHESIS => Open Synthesized Design =>Constranints Wizard
  • 设置时钟频率(50MHZ),“Skip to Finish”
    ax7020用户手册,fpga开发

3).在Sources窗口的Constraints的.xdc约束文件中点击“reload”,补充时序约束。
ax7020用户手册,fpga开发

2.5 编译:生成Bit文件

视频定位链接 23:32

1.选择点击:左侧 PROGRAM AND DEBUG => GENERATE bITSTREAM
2.选择运行处理器核心数(越多电脑越卡);右上角会显示运行状态。
ax7020用户手册,fpga开发

2.6 仿真

视频定位链接 25:27

1.右键选择点击:左侧 SIMULATION => Simulation Settings
2.设置:仿真器、仿真语言、初始仿真时间(50ms)等参数.
ax7020用户手册,fpga开发

3.添加仿真源文件
1).选择点击:窗口Source,左上角“+”;选择“Add ~ simulation sources”;设置文件名:vtf_led_test
ax7020用户手册,fpga开发

2).在该目录下编辑仿真源文件(编程)

`timescale 1ns / 1ps
//
// Module Name: vtf_led_test
//

module vtf_led_test;
// Inputs
reg sys_clk;
reg rst_n ;
// Outputs
wire [3:0] led;

// Instantiate the Unit Under Test (UUT)
led uut (
    .sys_clk(sys_clk),   
    .rst_n(rst_n),
    .led(led)
 );

initial 
begin
// Initialize Inputs
    sys_clk = 0;
    rst_n = 0 ;
    #1000 ;
    rst_n = 1; 
end
//Create clock
always #10 sys_clk = ~ sys_clk;  

endmodule

4.运行(行为级)仿真:选择点击 SIMULATION => Run Simulation => Run Behaviorral Simulation
ax7020用户手册,fpga开发

5.仿真操作:

视频定位链接 29:59

1)选择 Scope(模块) => Objects(项目);左键按住则可以拖动到黑色仿真窗口。
ax7020用户手册,fpga开发

2)Restart(重新运行)、Run All(一直运行)、Run for
xx(仿真运行xx长时间)ax7020用户手册,fpga开发

3)仿真文件存储目录(.sim文件夹)

4)显示进制选择:黑框仿真栏项目,鼠标右键 => Radix => Unsigned Decimal(十进制)
ax7020用户手册,fpga开发

2.7 程序下载

视频定位链接 34:40

1.硬件连接:电源线、JTAG下载口(跳线帽也换到JTAG)
ax7020用户手册,fpga开发

2.选择点击:左侧 PROGRAM AND DEBUG => Open Hardware Manager;在左上角通知栏点击“++Open Target++” => Auto Connect
ax7020用户手册,fpga开发

3.右键选择点击:Hardware中XC7z020_1 => Program Device;选择2.5中生成的.Bit文件
ax7020用户手册,fpga开发

2.8 内嵌逻辑分析仪(可获取开发板的真实数据)的用法

2.8.1 利用IP进行例化

视频定位链接 36:32

1.选择点击:左侧 PROJECT MANAGER => IP Catalog
ax7020用户手册,fpga开发

2.在搜索栏中搜索ILA(Integrated Logic Analyzer);双击进入
ax7020用户手册,fpga开发

3.设置参数
1)修改顶端文件名,设置 Number of Probe(探针数目)、Sample DataDepth(采样深度)。
ax7020用户手册,fpga开发

2)设置 Probe Width(探针宽度):计数器是32位,4个led灯是4位。
ax7020用户手册,fpga开发

4.保持默认,点击生成ILA文件(.xci)。
1)在Sources处点击打开ila文件,展开层级关系;
ax7020用户手册,fpga开发

2)展开后,会生成ILA的.v文件
ax7020用户手册,fpga开发

5.例化:

视频定位链接 40:50

1)复制ILA文件中的模块名及代码,在led.v文件内做 例化:将时钟(.clk)和探针(.probe)与需要探测的接口进行对应。
ax7020用户手册,fpga开发

ax7020用户手册,fpga开发

// 模块名为(ila)ILA中例化前代码:
  ila (
clk,
probe0,
probe1
);

// led.v中例化后代码:
//Instantiate ila in source file
ila ila_inst(
  .clk(sys_clk),
  .probe0(timer_cnt),
  .probe1(led)
  );

2)重新下载程序,生成.bit文件,下载文件到开发板;注意此时下载时会多一个.ltx文件(用于描述逻辑分析仪连接)ax7020用户手册,fpga开发

3)选择点击: Run trigger… 则可显示开发板内的实际数据。
ax7020用户手册,fpga开发

4)制作触发器

视频定位链接 45:09

选择点击: Add Probe(增加探针)=> 选择探针;修改探针逻辑、进制、数值;
ax7020用户手册,fpga开发
5)修改 Trigger Position in window 可以调整窗口的前后显示比例;每次修改需要点击 Run trigger…
ax7020用户手册,fpga开发

2.8.2 利用调试信息进行ILA

视频定位链接 49:07

1.在.v文件中添加调试信息
1)选择点击:PROJECT MANAGER => Language Templates;搜索 mark,选择“mark debug”
ax7020用户手册,fpga开发

2)在led.v文件中的led和cnt值定义的前面加上Mark语句,保存。
ax7020用户手册,fpga开发

2.进行综合(SYNTHESIS)
1)选择点击:左侧 SYNTHESIS => Run Synthesis 选择核心数;开始综合,右上角有指示。
2)综合后,选择点击:SYNTHESIS => Open Synthesis => Set Up Debug;按照指示保持默认,生成后保存。
ax7020用户手册,fpga开发
3)在约束文件处 reload ,约束文件中会将信号和探针连接到一起。
ax7020用户手册,fpga开发
3.生成bit文件
4.下载调试(同上)

《完》文章来源地址https://www.toymoban.com/news/detail-740297.html

到了这里,关于【ALINX】FPGA ZYNQ视频教程AX7020教程—02_Vivoda初体验LED灯工程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【资料分享】Xilinx Zynq-7010/7020工业核心板规格书(双核ARM Cortex-A9 + FPGA,主频766MHz)

    创龙科技SOM-TLZ7x是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗处理器设计的异构多核SoC工业核心板,处理器集成PS端双核ARM Cortex-A9 + PL端Artix-7架构28nm可编程逻辑资源,通过工业级B2B连接器引出千兆网口、USB、CAN、UART等通信接口,可通过PS端加载PL端程序,且PS端和PL端

    2024年02月12日
    浏览(34)
  • 【资料分享】Xilinx Zynq-7010/7020工业评估板规格书(双核ARM Cortex-A9 + FPGA,主频766MHz)

    创龙科技TLZ7x-EasyEVM是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗处理器设计的异构多核SoC评估板,处理器集成PS端双核ARM Cortex-A9 + PL端Artix-7架构28nm可编程逻辑资源,评估板由核心板和评估底板组成。核心板经过专业的PCB Layout和高低温测试验证,稳定可靠,可满足各种

    2024年02月12日
    浏览(31)
  • FPGAC程序固化——ZYNQ7020

            刚开始学习FPGA的小伙伴们,想必都会遇见到一个问题就是程序固化。在FPGA开发过程中,我们不仅需要编写代码实现所需功能,还需要将这些代码固定在FPGA芯片上,以确保其稳定运行并符合项目需求。尽管此过程听起来可能有些复杂,但它却是使得FPGA成为如此强大工

    2024年02月21日
    浏览(24)
  • ZYNQ AC7020C的“点LED”实验

    一、创建 Vivado 工程 1、启动 Vivado 2、在 Vivado 开发环境里点击“Create New Project”,创建一个新的工程 3、弹出一个建立新工程的向导,点击“Next” 4、在弹出的对话框中输入工程名和工程存放的目录。需要注意工程路径“Project location”不能有中文、空格,路径名称也不能太长

    2024年02月20日
    浏览(26)
  • AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    1.准备工具 vivado2018.3 HDL源码:https://wiki.analog.com/resources/fpga/docs/releases no_os:https://github.com/analogdevicesinc/no-OS 注意:HDL源码下载的版本要与vivado一致,我这里是2018.3 HDL版本选择 2.构建vivado工程 2.1编译源文件 解压下载的HDL文件的压缩包 进入该文件夹C:AD9361hdl-hdl_2019_r1project

    2024年02月13日
    浏览(32)
  • Zynq7020 使用 Video Processing Subsystem 实现图像缩放

    没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。 目前市面上主流的FPGA图像缩放方案如下:1:Xilinx的HLS方案,该方案简单,易于实现,但只能用于Xilinx自家的FPGA;2:非纯Verilog方案,大部分代码使用Verilog实现,但中间的fifo或

    2024年01月16日
    浏览(31)
  • 02体验FPGA开发流程

    标准的 FPGA 开发流程主要有以下几个步骤: 需求分析:做一个项目之前,首先需要对项目需求进行详细分析,弄明白项目所需要实现的功能 系统设计:根据项目所需的功能进行系统架构设计,架构设计主要是确定将系统分为子模块,模块之间数据流怎么走,控制流怎么走,

    2024年01月24日
    浏览(36)
  • petalinux-2021.1在zynq7020的flash上启动linux

    一、 前言 在电脑上安装虚拟机或者找一个电脑安装linux,用于编译petalinux工程; 安装与vivado对应的petalinux-tool; 版本信息: 1)linux:ubuntu16.04.06; 2)vivado:v2021.1; 3)petalinux:v2021.1; 4)petalinux工程参考bsp:xilinx-zc702-v2021.1-final.bsp; vivado可以在linux中,也可在windows中; 离

    2024年02月07日
    浏览(33)
  • Xilinx Zynq-7000系列FPGA多路视频处理:图像缩放+视频拼接显示,提供工程源码和技术支持

    Xilinx Zynq-7000系列FPGA多路视频处理:图像缩放+视频拼接显示,提供工程源码和技术支持 没玩过图像缩放和视频拼接都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。本文使用Xilinx Zynq7000系列FPGA Zynq7020实现HLS图像缩放+视频拼接,输入视频源采用

    2024年02月04日
    浏览(42)
  • ZYNQ7020(黑金)纯verilog驱动4.3寸RGB接口TFT液晶屏(AN430)显示彩条

    简介 像素(Pixel):像素是指由图像的小方格组成的,这些小方快都有一个明确的位置和被分配的色彩数值,小方格颜色和位置就决定该图像所呈现出来的样子。 分辨率(Resolution):是屏幕图像的精密度,是指显示器所能显示的像素有多少。 像素格式(Pixel Format):将RGB三

    2024年02月06日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包