数字电路中有关latch锁存器的心得

这篇具有很好参考价值的文章主要介绍了数字电路中有关latch锁存器的心得。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.锁存器的概念

锁存器( latch)是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电
平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。
锁存器不同于触发器,锁存器在不锁存数据时,输出端的信号随输入信号变化,就像信号通过一
个缓存器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。因此锁存器也称为透明锁存器, 指的是不锁存时输出对输入是透明的。

2.锁存器的结构

下面用D锁存器为例子来阐述这个锁存器

数电 锁存器,数字电子技术基础知识,fpga开发,社交电子

 基本构成是由四个与非门构成,现在我们假设 C==0,C取0当作输入时候,无论D取任何值 ,Rd和Sd的输出端的输出结果都会是 1,然后当Rd与Sd的结果都为1作为输入的时候,Q与~Q的状态都保持不变。可以理解成起到了锁存的作用,当假设 C==1 ,D==1 ,时根据逻辑电路图可得 SD的状态为0,Rd的状态1,此时Q置1,~Q置数为0. 当C==1 ,D==0,SD为1,RD为0,此时Q置数成1,~Q置数成为0;

       从这里看我们看出来,c==0时候,D锁存器是起到锁存的作用,c==1时,此时输出端Q的状态随着输入D的变化而变化,此时D锁存器和D触发器的功能相似。

2.1锁存器的特性表

注:Qn表示的是现态,Qn+1表示的是次态:

数电 锁存器,数字电子技术基础知识,fpga开发,社交电子

 可以很清楚的从表里看出C==0时刻,次态与现态保持一个状态,当C==1,次态的状态与D的输入保持一致。

2.2锁存器的时序波形图

数电 锁存器,数字电子技术基础知识,fpga开发,社交电子

 可以看出当处于锁存状态的时候,次态与上一个时刻现态的是一致的,高电平还处于高电平,低电平仍然处于低电平。当C拉高时候,Q跟随D;

2.3锁存器在电路设计中产生的危害

1、时序电路设计时候会产生问题

2,不能过滤掉毛刺和影响电路中时序分析工具的运用

3,进行电路设计时应该尽量避免锁存器的运用

3 具体设计例子( if_else结构为例)

//file name : latch.v 
//file function : 设计一个锁存器
//file version : 1.0 version
//file date  : 2023/3/31
//Author :ZihangNie


//*************************************************

module latch_1 (

   //输入信号
   input            sys_clk ,
	input            a ,
	input            b ,
   //输出信号
    output   reg     y
	
);
  always @  (*)  begin 
      if ( a == 1 )  //使能1
         
        y = b ;      
      end
endmodule	  
 

注明.文件名和工程名不能直接设置成latch,因为这里可能会与QuartusII中的关键词冲突,设置成

latch_1

QuartusII RTLviewer观察图数电 锁存器,数字电子技术基础知识,fpga开发,社交电子

 

从RTL图中可以产生了latch锁存器,这在电路设计的时候是尽可能要避免的。

//file name latch_2.v
//file function : 消除锁存器带来的电路影响
//file version  : 1.0version 
//file date     :2023\3\31
//Author        :ZihangNie 

//*********************************************

module latch_2  (

    //input signal
	input  sys_clk,
	input  a,
	input  b,
	
	//output signal
	output   reg  y

);
 
always@(*) begin 

    if ( a == 1) begin
	    y = b ;
	end
	else begin 
	    y = 0;
    end
end
endmodule
	  



QuartusII RTLviewer观察图

数电 锁存器,数字电子技术基础知识,fpga开发,社交电子

 从RTL图中可见latch锁存器已经消失了,if_else结构中一定一定要记得设计else语句,同时case:语句中也要有default语句,这样做可以很好的避免锁存器的产生!文章来源地址https://www.toymoban.com/news/detail-741070.html

到了这里,关于数字电路中有关latch锁存器的心得的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 什么是好的FPGA编码风格?(3)--尽量不要使用锁存器Latch

    在FPGA设计中,几乎没人会主动使用 锁存器Latch ,但有时候不知不觉中你的设计莫名其妙地就生成了一堆Latch,而这些Latch可能会给你带来巨大的麻烦。 Latch,锁存器,一种可以存储电路状态信息的组合逻辑元件,和同样可以保存电路状态的时序逻辑元件–触发器(Flip-Flop,

    2024年02月05日
    浏览(28)
  • FPGA中锁存器(latch)、触发器(flip-flop)以及寄存器(register)详解

    1 定义 1.1 锁存器(latch)     锁存器是一种由电平触发的存储单元,为异步电路,数据存储的动作取决于输入信号的电平值,只要输入发生变化,输出即随之发生变化。 1.2 触发器(flip-flop)     触发器是边沿敏感的存储单元,数据存储的动作由某一信号的上升或者下降

    2024年02月12日
    浏览(29)
  • verilog 学习笔记 —— 时序逻辑 Sequential Logics (Latches and Flip-Flops 锁存器和触发器)

    1. D flip-flop D触发器 2. D flip-flop  D触发器 3. DFF with reset  带复位的D触发器  4. 带复位值的D触发器 5. DFF with asynchronous reset 带异步复位功能的 D触发器 6. DFF with byte enable   带位启动的触发器 7. D Latch  D锁存器 8. DFF  9. DFF   10. DFF+gate   11. Mux and DFF   12. DFFs and gates   13

    2024年02月04日
    浏览(46)
  • 【FPGA】Verilog:锁存器 Latch | RS Flip-Flop 与 D Flip-Flop 的实现

    💭 写在前面: 本章将理解 RS/D 锁存器的概念,了解 RS/D/JK 触发器的概念,使用 Verilog 实现各种锁存器 (Latch) 和翻转器 (Flip-Flop),并通过 FPGA 验证用 Verilog 的实现。 📜 本章目录: Ⅰ. 前置知识回顾 0x00 锁存器(Latch)

    2024年02月05日
    浏览(37)
  • VHDL语言基础-时序逻辑电路-锁存器

    目录 锁存器的设计: RS锁存器: 真值表: 电路结构图: RS锁存器的仿真波形如下: D锁存器: D锁存器的仿真波形如下: 为了与触发器相类比,我们先介绍锁存器。锁存器是一种电平敏感的寄存器,典型的例子有RS锁存器与D锁存器。 真值表: 电路结构图: Library  ieee; Use 

    2024年02月08日
    浏览(30)
  • 「FPGA」基本时序电路元件——锁存器和触发器

    FPGA是一种数字电路实现的方式,它是基于小型查找表(16X1)设计的,它的兄弟CPLD是基于高密度复杂组合逻辑设计的。FPGA的一个优点是触发器资源丰富,适合实现复杂的时序设计。本文将从 门级电路 的角度来介绍时序电路的基本结构,锁存器(Latch)和触发器(flip-flop)。

    2024年02月11日
    浏览(44)
  • 数字电子技术之锁存器和触发器

    一、组合电路设计的一般步骤:         逻辑抽象=列出真值表=逻辑表达式=逻辑电路图 Notes:         a、可以先对逻辑表达式进行化简得到最简与或式、最简或与式、与非、或非,再对电路进行建模,从而提高电路的运行效率和可读性;         b、最基本的逻辑化简

    2024年02月06日
    浏览(39)
  • educoder数字逻辑实训:锁存器和触发器设计(Logisim)

    第1关:基本SR锁存器的设计 任务描述 本关任务:在Logisim中,构建由两个或非门构成的基本SR锁存器。 第2关:门控SR锁存器的设计  任务描述 本关任务:在Logisim中,在基本锁存器的基础上构建门控SR锁存器。 第3关:与非门构成的门控SR锁存器的设计  任务描述 本关任务:在

    2024年02月04日
    浏览(28)
  • 数字世界的积木-从MOS管搭反相器,与非门,锁存器,触发器

    NMOS管的结构示意图和表示符号如图所示,在P型衬底上制作两个掺杂N型区,形成MOS管的源极S 和漏极D ,中间电极称为栅极G,栅极和衬底之间通过SiO2 绝缘层隔开。 下图为NMOS输出特性曲线,采用共源极接法,漏极特性曲线可分为三个工作区,截止区,可变电阻区,饱和区 当

    2024年02月01日
    浏览(34)
  • SR锁存器与D锁存器设计与建模

    ⭐本专栏针对FPGA进行入门学习,从数电中常见的逻辑代数讲起,结合Verilog HDL语言学习与仿真,主要对组合逻辑电路与时序逻辑电路进行分析与设计,对状态机FSM进行剖析与建模。 🔥文章和代码已归档至【Github仓库:hardware-tutorial】,需要的朋友们自取。或者公众号【AISha

    2024年02月02日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包