Quartus使用步骤及联合Modelsim仿真教程

这篇具有很好参考价值的文章主要介绍了Quartus使用步骤及联合Modelsim仿真教程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

#使用记录#

对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程:

一、工程创建

1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

2、我们可以看到如下界面,点击新建工程

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

3、首先点击浏览,选择工程文件存放位置,然后修改工程名称,然后点击下方NEXT继续下一步

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

4、这不用管它直接默认选择空工程,然后点击NEXT

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

5、这里是添加文件,因为我们没有需要添加的,所以也不需要管,点击NEXT进入下一步就行

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

6、这里首先点击选择你需要的芯片的芯片包,然后再输入对应的芯片,最后在下面即可看到芯片,然后点击NEXT进入下一步

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

7、这里点击选择仿真方式以及编写程序使用的语言,选择完成点击NEXT进入下一步

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

8、点击Finish,工程创建就完成了

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

二、简单代码编写及下载

1、点击左上角File-->New新建,出现如下界面,选择你的文件类型,原理图输入就选择Block Diagram/Schematic File,我们这里使用代码输入,并且是Verilog HDL语言,所以选择Verilog   HDL File然后点击OK。

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

2、再出现的界面输入代码,这里是一个测试代码,可以复制使用:

module example(


      input       clk,
	   input       rst_n,
		input       data,
		
		output      pos_edge,    //上升沿
		output      neg_edge,    //下降沿  
		output      data_edge,  //数据边沿
		
		output reg     [1:0]   D      
);
	
//设置两个寄存器,实现前后电平状态的寄存
//相当于对dat_i 打两拍

	always @(posedge clk or negedge rst_n)begin
	    if(rst_n == 1'b0)begin
	        D <= 2'b00;
	    end
	    else begin
	        D <= {D[0], data};  	//D[1]表示前一状态,D[0]表示后一状态(新数据) 
	    end
	end
	
//组合逻辑进行边沿检测

	assign  pos_edge = ~D[1] & D[0];
	assign  neg_edge = D[1] & ~D[0];
	assign  data_edge = pos_edge | neg_edge;
	
endmodule

3、点击如下按钮编译程序

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

4、出现如下界面,点击Yes保存文件

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

5、这里保存的名字和前面的module名称一样,否则会报错

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

6、看到如下结果就是编译正常,没有问题

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

7、然后是绑定引脚,有两种方式可以点击上方Assignments再选择Pin  Planner或者点击如图右边的按钮进行绑定,绑定结束再进行一次编译,没有出问题接下来就是下载程序到开发板

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

8、选择Tools下面的programmer,或者下图按钮

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

9、先点击下图1位置选择硬件连接,然后点击Auto Detect进行自动检测,做后点击Start等待上方Progress下载完成即可在开发板看到对应效果。

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

三、与Modelsim进行联合仿真

1、点击Processing-->Start-->Start test bench template writer,这时我们的文件夹之下已经有了一个example.vt文件

2、对刚才生成的文件进行修改(可以直接复制下面代码,注意名称),然后在编译一次

`timescale 1ns/1ns

module example_tb;
   reg        clk;
	reg        rst_n;
	reg        data;
	
	wire       pos_edge;
   wire       neg_edge;
   wire       data_edge;
	wire [1:0] D;


  example   u1(
      .clk(clk),
      .rst_n(rst_n),
      .data(data),
    
      .pos_edge(pos_edge),
      .neg_edge(neg_edge),
      .data_edge(data_edge),
		.D(D)
 );
 
 //产生时钟激励
 initial  clk = 1; 
 always #10  clk = ~clk;

 
 //输入激励
 initial  begin 
      rst_n=0;
		data=0;
      #100;
      rst_n = 1;
		#50
      data=1;
      #201;
      data=0;
      #201;
      data=1; 
      #101;
      data=0;	
      #200;
      $stop;
 
 end
 
 endmodule 

3、现在设置仿真,点击Tools-->Options-->EDA ToolsOptions选项,点击浏览Modelsim安装目录下的Win64或者Win32

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

4、然后对仿真文件设置点击Assignments-->Settings,再点击下面的Simulation按照如图设置

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

5、选择上面的Compile test bench点击后面Test Bench进入选择,点击New新建

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

6、按照顺序先编辑名字,然后浏览刚才的.vt文件,最后点击Add添加达到如下图效果,再点击OK,这里如果浏览的是.vo文件则后面仿真需要自己设置时间及电平,然后一直点击OK回到代码编辑界面

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

7、点击如下图按钮,然后点击仿真,会自动跳转Modelsim

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

8、选择下面的Wave即可看到波形,到这里就结束了

Quartus使用步骤及联合Modelsim仿真教程,FPGA,fpga开发

写在最后,希望文章可以帮到初学者,文章有不对的地方欢迎指出来一起学习,一起进步。文章来源地址https://www.toymoban.com/news/detail-751411.html

到了这里,关于Quartus使用步骤及联合Modelsim仿真教程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(30)
  • 基于fpga的图像处理之图像灰度化处理(Vivado+Modelsim+Matlab联合仿真验证)

    微信公众号上线,搜索公众号 小灰灰的FPGA ,关注可获取相关源码,定期更新有关FPGA的项目以及开源项目源码,包括但不限于各类检测芯片驱动、低速接口驱动、高速接口驱动、数据信号处理、图像处理以及AXI总线等 源码工程链接 https://download.csdn.net/download/m0_50111463/88529260

    2024年02月10日
    浏览(37)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(32)
  • FPGA必备软件保姆级教程:Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装教程

    重要提示!此教程只用于学习用途! 目录 安装包提供 Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装步骤 2023年9月12日补档,Quartus与ModelSim的关联。   教程使用的安装包,均为网络开源资源,侵权立删。百度网盘链接:百度网盘 请输入提取码提取码:hq4n 1.网盘下载这两个

    2024年02月08日
    浏览(30)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(27)
  • 【FPGA】双线性差值实现图像缩放,使用modelsim和matlab仿真验真

    双线性插值,又称为双线性内插。在数学上,双线性插值是有两个变量的插值函数的线性插值扩展,其核心思想是在两个方向分别进行一次线性插值。 双线性插值作为数值分析中的一种插值算法,广泛应用在信号处理,数字图像和视频处理等方面。 网上理论知识一大把,反

    2024年02月12日
    浏览(29)
  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(29)
  • FPGA学习笔记(二)——Modelsim仿真、testbench编写

    我的Modelsim-Altera是在安装Quartus13.0时下载的,里面会有选项,安装初学者版本就可以,在Quartus18.0里也可以使用。 这样就完成了关联设置,再次进行仿真就不会出现上篇文章出现的报错了。  sel为1的时候,out与a一致;sel为0的时候,out与b一致。  这只是简单的波形仿真,下面

    2023年04月15日
    浏览(31)
  • FPGA设计——verilog实现乒乓操作并modelsim仿真

    乒乓操作是FPGA设计中常用的一种技巧,它通过数据流控制实现按节拍相互配合的切换,来提高数据处理效率,达到无缝缓冲和处理的效果。本文针对乒乓操作进行学习总结。 完整工程 一、原理图如下 : 1、二选一控制器来对缓冲模块1和2进行选择。 2、数据缓冲模块一般就是

    2023年04月08日
    浏览(28)
  • FPGA学习笔记:verilog基础代码与modelsim仿真(三)

    1. 分频器——偶分频 方法1: verilog代码实现: modelsim仿真波形图: 方法2(推荐): 比起方法一直接使用计数器定义一个新的时钟波形,方法二使用flag_out作为分频变量从而实现分频器的功能。虽然二者都使用了计数器,但方法二显然更符合“分频”的定义,且此方法在告诉

    2024年02月06日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包