FPGA时序分析工具上手(一)(Quartus)

这篇具有很好参考价值的文章主要介绍了FPGA时序分析工具上手(一)(Quartus)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

在FPGA的设计中,往往要进行时序约束,时序约束的作用是告诉EDA软件,该设计要达到什么样的时序标准,在时序约束中,有着欠约束和过约束之分。

对于欠约束,就是约束的力度不够大,导致约束不足。

对于过约束,约束力度足够大,那么为什么也不行呢?假设FPGA中有三条线,这三条线要求都按照最大的频率去跑,到最后一个都跑不了(解释可能有问题,大概就是这个意思)

所以要合理的布局布线,不至于为了达到某一条路径的要求而牺牲掉其他路的资源。不能太高也不能太低

对于Quartus软件的操作:

假设我们的输入时钟频率为50MHZ

第一步:

运行程序,点击Time Quest Timing Analyzer选项,从里面的clock中,我们可以看出,系统默认的输入时钟频率为1000MHZ。从第二张图可以看出,整个系统可以正确传输数据的最大时钟频率应该是250.13MHZ,所以现在会报错(因为输入频率超出了最大频率).明明我们输入的频率是50MHZ,怎么变成了1000MHZ 了呢?

是因为你并没有告诉EDA软件,你是多大的时钟频率。所以在时序约束时,我们要告诉系统我们是多大的时钟频率。

quartus怎么修改时钟频率,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-751878.html

 quartus怎么修改时钟频率,fpga开发

 

第二步:

点击tools下的Time Quest Timing Analyzer,创建时序网表(create Timing Netlist)并且读取SDC文件(Read SDC File)

quartus怎么修改时钟频率,fpga开发

第三步:直接修改时钟

Task-----> Diagnostic下面的Report Clocks 双击

quartus怎么修改时钟频率,fpga开发

quartus怎么修改时钟频率,fpga开发 

 

 quartus怎么修改时钟频率,fpga开发

 时钟的名字不用动,修改周期即可,同时Rising  和 Falling最好不填,因为这样可以自动匹配到合理的占空比

完成约束后,需要写SDC文件的操作,这个操作会生成包含所有约束的SDC文件,点击Write SDC File  

quartus怎么修改时钟频率,fpga开发

quartus怎么修改时钟频率,fpga开发

重新运行代码,可以看到1000MHZ变成了50MHZ

 

 

到了这里,关于FPGA时序分析工具上手(一)(Quartus)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA学习笔记 1 -- Quartus软件的使用

    打开软件→新建工程→设计输入(verilog代码)→配置工程(I/O引脚复用)→分析与综合(对设计输入进行分析,检查是否有语法错误)→分配引脚(根据原理图分配)→编译工程(生成sof文件)→下载程序 或 找到工程路劲下的.qsf文件,直接添加或修改引脚 或 创建一个tcl文

    2024年02月12日
    浏览(28)
  • FPGA—基于Quartus软件设计全加器

    本篇博客主要是基于Quartus软件件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。开发板基于Intel DE2-115。 1、半加器 1、定义: 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路。 2、真值表: A,B表示

    2024年02月06日
    浏览(32)
  • 基于Quartus II的fpga设计流程

    本文仅用于记录与学习。参考 串口(UART)的FPGA实现(含源码工程) 逻辑综合(logic synthesis)入门指南 quartusII关于时钟约束 FPGA内部硬件结构简介 如有侵权,联系删除 指用Verilog或VHDL语言实现的一个单元模块。在这个单元模块中,通过实例化将待验证设计(DUV)作为一个子模块

    2024年02月05日
    浏览(41)
  • 【FPGA】Quartus18.1的安装以及使用

    https://www.intel.com/content/www/us/en/software-kit/665990/intel-quartus-prime-lite-edition-design-software-version-18-1-for-windows.html 一路next 建立一个 全英文 的文件夹,修改安装路径,点击next即可 软件在此 把license_all.bat复制到安装路径下的 quartusbin64 中,以我的安装路径为例。 安装路径: D:SoftW

    2024年02月04日
    浏览(41)
  • 【FPGA实验0】Quartus建立工程文件以及仿真

    FPGA的第一课,学习Quartus编程运行仿真烧录的这一个流程,以一个十位的计数器为例。 芯片商家:ALTERA 编程软件:Quartus II 试验箱上的芯片型号:EP4CE6E22C8N 一、新建一个工程 打开软件后会会弹出一个窗口,点击【创建向导】 第一步:设置工程名和顶层硬件模块名 第二步:加

    2024年02月05日
    浏览(30)
  • 可变频率正弦信号发生器的FPGA实现(Quartus)

    实现平台:Quartus17.1、MATLAB2021a和Modelsim SE-64 10.4 1. 产生一个完整周期的正弦波信号,并保存为*.mif文件; 2. 设计一个ROM,将正弦波信号文件初始化如该ROM中; 3. 设计一正弦波信号发生器,按照读取步长,产生频率可变的正弦波信号; 4.编写测试文件,通过modelsim查看波形。 (

    2024年01月16日
    浏览(35)
  • 【FPGA】FFT测量信号频率(Quartus IP核)

    ​​​​​​​ 一、前言 二、FFT是什么(原理)? 三、FFT IP核参数介绍 四、仿真 0、文件完整结构 1、设置IP核 2、例化FFT,并完善顶层文件 3、利用matlab生成正弦波信号 4、导出变量x生成的正弦波数据 5、编写testbench 6、RTL Simulation 五、上板 1、matlab生成正弦波信号并导出m

    2024年04月28日
    浏览(26)
  • EDA实验(Quartus Ⅱ+fpga) (四)---交通灯设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码。使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6。 (一)实验目的 (1)熟悉交通灯控制器的工作原理; (2)了解设计中的优化方案; (3)进一步掌握状态机的设计; (4)学习较复杂数字系

    2024年02月02日
    浏览(43)
  • 使用Quartus II做FPGA设计遭遇的一些问题

    问题1:Error:Width mismatch in pin_name – source is 。。。 ** 问题2:Error (275028): Bus name allowed only on bus line – pin “data[7…0]” Error (275029): Incorrect connector style at port “test_data[7…0]” for symbol “inst” of type top

    2024年02月11日
    浏览(42)
  • FPGA开发(基于Quartus II)万年历,简单代码

       设计一个基于FPGA的电子万年历。设计的主要任务是在Quartus II开发环境中完成电子万年历系统FPGA内部各电路模块的设计,包括各个模块的设计输入、编译、仿真、验证和硬件测试任务。具体要求如下: 能实现2 4 小时、6 0 分、6 0 秒的基本计时功能,格式为0 8 - 56 - 36 :时

    2024年02月04日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包