【最通用版FPGA 实现 SPI 驱动】

这篇具有很好参考价值的文章主要介绍了【最通用版FPGA 实现 SPI 驱动】。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

最近研究了一下SPI协议的FPGA实现,发现网上很多大佬分享的方法都是针对某一特定的flash芯片或者某一传感器芯片来设计电路结构的。所以想根据SPI(Serial Peripheral Interface)的基本通讯协议实现一个通用版的SPI Master驱动。SPI在嵌入式领域是一个很成熟且应用非常广泛的通信协议,其通信协议的具体内容在此不再赘述。
SPI协议有四种模式,0模式和3模式应用最为广泛,本文以0模式为基础设计FPGA电路结构。
【最通用版FPGA 实现 SPI 驱动】,fpga开发
如上图所示,SPI通信可以理解为主机和从机之间两个双向移位寄存器之间的数据交换,所以每个时钟节拍数据的发送和接收都是同时进行的。

模块结构

一个模块的设计首先要站在用户的角度去考虑,比如其他开发者调用该模块的时候应该如何使用,这样就比较容易确定模块的输入输出信号。本模块设计的特点在于可以由用户自己定义每次数据传输的长度。所以调用该模块接收数据时,要事先知道所对接的从机的数据什么时候发送过来,根据byte_cnt 和bit_cnt来定位接收到的数据。
【最通用版FPGA 实现 SPI 驱动】,fpga开发

输入信号:

  • clk 该模块的驱动时钟,根据需要提供
  • rst_n 模块复位信号,低电平有效
  • spi_start 模块唤醒信号,只允许cs为高定平时提供一个时钟宽度的脉冲
  • user_data 要发送的数据
  • data_width 本次唤醒要发送的数据宽度,代表要发送多少个字节
  • miso 主机输入从机输出

输出信号:

  • bit_cnt 数据传输位计数器
  • byte_cnt 数据传输字节计数器
  • cs 片选信号
  • mosi 主机输出,从机输入
  • rev_data 模块接收到的数据

verilog代码实现

驱动设计代码

module spi_drv (
    input              clk,          //50M
    input              rst_n,
    input              spi_start,

    input [31:0]       data_width,
    input [7:0]        user_data,

    output reg [2:0]   bit_cnt,
    output reg [31:0]  byte_cnt,
    output reg [7:0]   rev_data,

    output             sck,   //spi通信同步时钟
    output             cs,    //片选信号
    output             mosi,  // master output slave input
    input              miso   // master input slave output
);

wire       spi_en;   //模块使能信号
reg        spi_run;  //模块状态寄存器
reg        spi_clk;  //sck时钟
reg [7:0]  sen_buf;  //输出缓冲寄存器
reg [7:0]  rev_buf;  //输入缓冲寄存器

assign cs     = ~spi_run;
assign sck    = (spi_run == 1'b1) ? spi_clk : 1'b0;
assign mosi   = (spi_run == 1'b1) ? sen_buf[7 - bit_cnt] : 1'b0;
assign spi_en = spi_start & (~spi_run);

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        spi_run <= 1'b0;
    else if(spi_en == 1'b1)
        spi_run <= 1'b1;
    else if((byte_cnt == data_width - 1'b1)&&(bit_cnt == 3'd7)&&(spi_clk == 1'b1))
        spi_run <= 1'b0;
    else
        spi_run <= spi_run;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        sen_buf <= 8'd0;
    else if(spi_en == 1'b1)
        sen_buf <= user_data;
    else if((bit_cnt == 8'd7)&&(spi_clk == 1'b1))
        sen_buf <= user_data;
    else
        sen_buf <= sen_buf;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        spi_clk <= 1'b0;
    else if(spi_run == 1'b1)
        spi_clk <= ~spi_clk;
    else
        spi_clk <= 1'b0;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        bit_cnt <= 3'd0;
    else if(spi_run == 1'b1)begin
	if((bit_cnt == 3'd7)&&(spi_clk == 1'b1))
	    bit_cnt <= 3'd0;
        else if(spi_clk == 1'b1)
            bit_cnt <= bit_cnt + 1'b1;
        else
            bit_cnt <= bit_cnt;
    end
    else
        bit_cnt <= 3'd0;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        byte_cnt <= 32'd0;
    else if(spi_run == 1'b1)begin
	if((byte_cnt == data_width - 1'b1)&&(bit_cnt == 3'd7)&&(spi_clk == 1'b1))
            byte_cnt <= 32'd0;
	else if((bit_cnt == 3'd7)&&(spi_clk == 1'b1))
            byte_cnt <= byte_cnt + 1'b1;
        else
            byte_cnt <= byte_cnt;
    end
    else
        byte_cnt <= 32'd0;
end

always @(posedge spi_clk or negedge rst_n) begin
    if(!rst_n)
        rev_buf <= 8'd0;
    else if(spi_run == 1'b1)
        rev_buf <= {rev_buf[6:0],miso};
    else
        rev_buf <= 8'd0;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        rev_data <= 8'd0;
    else if(spi_run == 1'b1)begin
	if((bit_cnt == 3'd7)&&(spi_clk == 1'b1))
        	rev_data <= rev_buf;
	else
		rev_data <= rev_data;
    end
    else
        rev_data <= 8'd0;
end

endmodule

仿真激励代码

`timescale 1ns/1ns

module spi_drv_tb();

parameter T = 10;

parameter [7:0] CMD     = 8'b1010_0000;
parameter [7:0] REG_ADR = 8'b0000_0001;

reg [7:0] reg_value0;
reg [7:0] reg_value1;

reg clk;
reg rst_n;
reg spi_start;

reg [31:0] data_width;
reg [7:0]  user_data;

wire [2:0]  bit_cnt;
wire [31:0] byte_cnt;

reg miso;

initial begin
    clk         <= 1'b0;
    rst_n       <= 1'b0;
    spi_start   <= 1'b0;
    data_width  <= 32'd4;
    user_data   <= 8'd0;
    miso 	<= 1'b0;
    reg_value0  <= 8'b1010_1010;
    reg_value1  <= 8'b1010_1010;
end

initial begin
    #(2*T) rst_n <= 1'b1;
end

initial begin
    #(5*T) spi_start <= 1'b1; 
    #(2*T) spi_start <= 1'b0;
end

always @(negedge clk)begin
    if(spi_start)
	user_data <= CMD;
    else if((bit_cnt == 3'd7)&&(byte_cnt == 32'd0)) 
        user_data <= REG_ADR;
    else if((bit_cnt == 3'd7)&&(byte_cnt == 32'd1)) 
	user_data <= 8'd0;
    else if((bit_cnt == 3'd7)&&(byte_cnt == 32'd2)) 
        user_data <= 8'd0;
    else if((bit_cnt == 3'd7)&&(byte_cnt == 32'd3)) 
        user_data <= 8'd0;
    else
	user_data <= user_data;
end

always @(*) begin
    if(byte_cnt == 32'd2)
        miso <= reg_value0[7 - bit_cnt];
    else if(byte_cnt == 32'd3)
	miso <= reg_value1[7 - bit_cnt];
    else
	miso <= 8'd0;
end

spi_drv spi_drv_m0(
    .clk(clk),
    .rst_n(rst_n),
    .spi_start(spi_start),
    .data_width(data_width),
    .user_data(user_data),
    .bit_cnt(bit_cnt),
    .byte_cnt(byte_cnt),
    .miso(miso)
);

always #T clk = ~clk;

endmodule

Modelsim仿真结果

【最通用版FPGA 实现 SPI 驱动】,fpga开发文章来源地址https://www.toymoban.com/news/detail-753663.html

到了这里,关于【最通用版FPGA 实现 SPI 驱动】的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于SPI的FPGA-MCU通用通信界面设计与技术详解

    FPGA与MCU之间的通信想必是很多异构人极为头疼的难题。如果每次写一个工程都要大费周章重写通信逻辑、通信协议之类的东西,不仅耗费心神,而且浪费时间。本文基于安陆PH1A90SBG484,提出一个已经通过门级仿真验证的通用通信界面解决方案。详细代码见以下链接: Github代

    2024年02月04日
    浏览(33)
  • FPGA驱动SPI接口的LCD(三)——LCD的初始化

    LCD初始化函数 void LCD_Init(void);  首先是LCD的复位 void LCD_RESET(void) {     LCD_RST_CLR;        //拉低复位引脚     Delay_Ms(100);        //延时100ms         LCD_RST_SET;        //拉高复位引脚     Delay_Ms(50);        //延时50ms } 向LCD屏幕写入一个8位命令 void LCD_WR_REG(u8 data) {     

    2024年02月01日
    浏览(31)
  • 【FPGA协议篇】UART通信及其verilog实现(代码采用传参实现模块通用性,适用于快速开发)

    ​ 即通用异步收发器(Universal Asynchronous Receiver/Transmitter),是一种 串行、异步、全双工 的通信协议。特点是通信线路简单,适用于远距离通信,但传输速度慢。 数据传输速率:波特率(单位:baud,波特) 常见波特率有:1200、2400、4800、19200、38400、57600等,最常用的是9600和11520

    2024年02月05日
    浏览(36)
  • 【LabVIEW FPGA入门】LabVIEW FPGA 实现SPI通信协议

            该实现由两个组件组成:在 LabVIEW FPGA 中实现的 SPI 协议以及用于从主机 PC 或实时控制器与 FPGA 进行通信的 LabVIEW 主机接口。该架构允许从单个主机程序控制多个 SPI 端口,同时仍然允许定制 FPGA VI 以进行其他数据采集和处理。该实现不使用任何DMA(直接内存访问

    2024年01月17日
    浏览(39)
  • FPGA实现SPI接口(1)--什么是SPI接口?

    目录 1、什么是SPI协议 2、SPI协议详述 2.1、SPI协议物理层 2.2、SPI 协议层 2.3、SPI协议通信过程 2.4、SPI协议的特性 2.5、SPI协议的优势、劣势 3、驱动代码的设计实现 3.1、接口定义与整体设计 3.2、Verilog代码 4、Testbench及仿真结果 4.1、单个BYTE的仿真 4.2、多个BYTE的仿真 5、其他  

    2024年02月09日
    浏览(33)
  • FPGA——实现三线SPI和UART

    目录 逻辑框图(原理图) 端口约束和ILA  ILA waveform  实测波形 串口调试工具 源代码 顶层  FPGA和芯片之间通过三线SPI接口通信(DATA复用一个IO端口),FPGA和PC之间通过UART串口通信。 原理图包含4个模块,分别为:内建模块IBUFDS(用于将外部差分时钟转换为内部单端时钟)、

    2024年02月09日
    浏览(25)
  • FPGA常见接口及逻辑实现(三)—— SPI

    一、SPI协议简介         SPI是串行外设接口(Serial Peripheral Interface)的缩写,是一种同步串行接口,相对于之前介绍过的UART和I2C,SPI的速率就高出很多,最高能到100M左右,SPI协议比较简单,就不多做介绍,主要介绍下与UART和I2C的不同,SPI是一主多从协议,每个从机通过

    2024年04月26日
    浏览(22)
  • 孩子都能学会的FPGA:第三十一课——用FPGA实现SPI主机发送数据

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月04日
    浏览(29)
  • 基于SPI实现stm32与fpga通信(一)

    SPI通信协议有以下4种模式: 模式0:时钟极性为0,时钟相位为0,数据在时钟下降沿捕获,数据在时钟上升沿改变。 模式1:时钟极性为0,时钟相位为1,数据在时钟上升沿捕获,数据在时钟下降沿改变。 模式2:时钟极性为1,时钟相位为0,数据在时钟上升沿捕获,数据在时钟

    2024年04月16日
    浏览(34)
  • 通用读写仲裁模块(FPGA实现)

      当涉及多个模块向同一个模块进行读写操作、向一个半双工模块请求读写,甚至综合一下,多个模块向一个半双工模块发起读写请求,那就要涉及读写仲裁。因为最近做的项目中涉及的读写仲裁太多了,所以就想还是要写一个通用的读写仲裁模块,最好还是具备“凡请求

    2024年02月07日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包