ISE 14.7基础使用方法

这篇具有很好参考价值的文章主要介绍了ISE 14.7基础使用方法。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1、打开软件,点击file->new project,新建工程

ise14.7,fpga开发

2、设置FPGA芯片的相关信息,需要与自己所使用的FPGA芯片信息保持一致(1~4),然后设置综合与仿真工具(即5和6,二者基本不变),随后就是自己所使用的硬件描述语言(7)。其中,步骤1到4参考下面第二张图

ise14.7,fpga开发
ise14.7,fpga开发

3、这里是之前编辑的汇总,直接finish就行

ise14.7,fpga开发

4、新建源文件

ise14.7,fpga开发

5、选择文件类型,填写文件名,然后一路next和finish下去

ise14.7,fpga开发

6、填写代码

ise14.7,fpga开发

7、开始编译,成功后可生成原理图

ise14.7,fpga开发

8、原理图生成完成,表示编译成功

9、开始功能仿真,此时需要新建一个仿真文件,后面同样一路next和finish

ise14.7,fpga开发
ise14.7,fpga开发

10、系统自动生成仿真文件内容,可以稍作修改,比如将所有情况枚举出来(下图中未作修改)

ise14.7,fpga开发

11、开始仿真,此处也可直接双击(后续所有节点操作都可),等待结果

ise14.7,fpga开发

12、观察弹出来的时序窗口,并且可以在下方控制台中输入代码,如run 1ms,直接回车。图中可以看出,abc均为低电平,因为0+0=0,所以仿真结果无误

ise14.7,fpga开发

13、仿真结束后,可以开始板级仿真,如下方式打开引脚约束(配置)截面,弹出来的小窗口全部点击yes和close

ise14.7,fpga开发

14、打开的窗口如下所示

ise14.7,fpga开发

15、设置对应的引脚与电平(此处随意设置),保存后关闭软件

ise14.7,fpga开发

16、此时可以看到,已经生成了对应的ucf文件,打开后如图所示

ise14.7,fpga开发

17、回到之前的界面,准备布局布线和生成FPGA可识别的bit文件,此处等待时间较长

ise14.7,fpga开发

18、完成后界面如图

ise14.7,fpga开发

19、开始准备配置设备的连接,如下点击,遇到小窗口,直接点击OK

ise14.7,fpga开发

20、出现的配置界面如下

ise14.7,fpga开发

21、双击Boundary Scan

ise14.7,fpga开发

22、在空白处右击,如下选择(此时需要先将FPGA与上位机连接好)

ise14.7,fpga开发

23、若是连接好,直接出现下面的结果,表示身份识别成功,4处直接点击yes

ise14.7,fpga开发

24、然后出现如下界面,直接找到自己之前生成的bit文件,点击open

ise14.7,fpga开发

25、然后出现这个界面,表示是否下载到flash中,若点击yes,意味着FPGA掉电后程序依旧存在,本人此处选择No

ise14.7,fpga开发

26、直接OK

27、右击,选择program,表示开始下载程序

ise14.7,fpga开发

28-1、出现如下情况,表示信号线路不一定完整,可以查看是否JTAG接错线,或者接触不良,或者参考以下链接:

https://electronics.stackexchange.com/questions/112415/the-idcode-read-from-the-device-does-not-match-the-idcode-in-the-bsdl-file

https://support.xilinx.com/s/article/13529?language=en_US#:~:text=If%20both%20Initialize%20Chain%20and%20Get%20Device%20IDCODE,opened%20or%20if%20the%20devices%20were%20added%20manually.
ise14.7,fpga开发

28-2、如下情况,表示下载成功

ise14.7,fpga开发文章来源地址https://www.toymoban.com/news/detail-754756.html

到了这里,关于ISE 14.7基础使用方法的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • ISE Bit文件转换为MCS文件——FPGA开发指南

    ISE Bit文件转换为MCS文件——FPGA开发指南 在FPGA(现场可编程门阵列)开发中,经常需要将ISE Bit文件转换为MCS文件,以便在FPGA上进行编程和配置。本文将介绍如何进行这一过程,并提供相应的源代码示例。 一、什么是ISE Bit文件和MCS文件? ISE(Integrated Software Environment)是Xi

    2024年01月24日
    浏览(74)
  • 【FPGA入门】第二篇、ISE软件的使用

    目录 第一部分、新建工程 第二部分、添加顶层文件 第三部分、添加管脚约束文件 第四部分、生成bit文件 第五部分、连接开发板,下载bit文件 第六部分、总结 第一步、如果提前建立了工程文件夹,那么这里就需要去掉生成子文件夹的路径。 因为ISE软件输入工程名称后自动

    2024年02月09日
    浏览(37)
  • xilinx FPGA ROM IP核的使用(VHDL&ISE)

    目录 1.新建工程之后 建一个ip核文件: 2.编写顶层文件或者激励文件:(一定一定点击下面这个例化模板 去对ip核进行例化) 3.查看rtl图:   4编写测试文件: 5.仿真图: 工程下载链接:https://download.csdn.net/download/qq_43811597/86488775       根据所存数据的最大值来设置数据位宽

    2024年02月08日
    浏览(36)
  • xilinx FPGA FIFO IP核的使用(VHDL&ISE)

    1.新建工程和ip核文件 下图显示了一个典型的写操作。拉高WR_EN,导致在WR_CLK的下一个上升边缘发生写入操作。因为FIFO未满,所以WR_ACK输出1,确认成功的写入操作。当只有一个附加的单词可以写入FIFO时,FIFO会拉高ALMOST_FULL标志。当ALMOST_FULL拉高之后,一个附加的写入将导致

    2024年02月03日
    浏览(39)
  • Xilinx FPGA未使用管脚上下拉状态配置(ISE和Vivado环境)

    ISE开发环境 ISE开发环境,可在如下Bit流文件生成选项中配置。 右键点击 Generate Programming File ,选择 Process Properties , 在弹出的窗口选择 Configuration Options-Unused Pin ,选择 Pull Down、Pull Up或者Float 。 可以看到,除了未使用管脚,一些系统管脚,比如JTAG,Program、Done管脚等等都可

    2024年02月06日
    浏览(38)
  • FPGA 后仿(基于VIVADO和ISE编译库)

    Xilinx 的vivado后仿或者综合后功能仿真支持各种主流仿真器包括vcs,ies(ncverilog),modelsim等。本文描述的是基于Xilinx FPGA的综合库进行网表的功能仿真或者后仿真的总结。重点是如何提取FPGA的std cell仿真模型和SDF,以及如何利用主流仿真器进行后仿。 一、采用第三方仿真器通常

    2024年02月03日
    浏览(34)
  • Xilinx FPGA——ISE的UCF时序约束

            时序约束是我们对FPGA设计的要求和期望,例如,我们希望FPGA设计可以工作在多快的时钟频率下等等。         设计是要求 系统中的每一个时钟都进行时序约束 。         TNM是最基本的分组约束语法,其语法定义如下:          {NET|INST|PIN} \\\"net_or_pin_or_i

    2024年02月04日
    浏览(34)
  • ISE约束文件UCF与Vivado约束文件XDC(FPGA不积跬步101)

    ISE约束文件UCF与Vivado约束文件XDC(FPGA不积跬步101) 随着FPGA技术的日益成熟,越来越多的工程师选择使用FPGA进行嵌入式系统的设计和开发。在FPGA的设计中,约束文件的编写是非常重要的一环。而在约束文件的编写中,ISE约束文件UCF和Vivado约束文件XDC是两个非常重要的格式。

    2024年02月12日
    浏览(56)
  • ISE软件使用小结

    以标号顺序进行经验总结: 放大;缩小;适应界面(一般在点击3箭头所指处之后使用,在适应界面的状态后进行放大,是查看波形的一般步骤)。 (mark):放置轴,以便直观显示一个周期,上述波形图均采取此方式。 单点Run all会 出现波形消显的情况,还需人工判断进行暂

    2024年02月11日
    浏览(37)
  • ISE下载程序报错A problem may exist in the hardware configuration--解决方法(亲身踩雷)

    在用ISE下载程序的时候一直报错:iMPACT:Can not find cable, check cable setup iMPACT:A problem may exist in the hardware configuration. Check that the cable, scan chain, and power connections are intact, that the specified scan chain configuration matches the actual hardware, and that the power supply is adequate and delivering the correct vo

    2024年02月09日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包