微机原理:并行接口实验(7七段数码管显示)

这篇具有很好参考价值的文章主要介绍了微机原理:并行接口实验(7七段数码管显示)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一. 实验要求

        编写程序,使8255的A口为输入,B口为输出,通过拨动开关让7段数码管显示0~F。

二. 实验代码

DATA	SEGMENT
PORTA	EQU		0200H			
PORTB	EQU		0202H			
PORTD	EQU		0206H			
LED 	DB  	0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H,0A1H,86H,8EH 			
DATA	ENDS
CODE	SEGMENT
	 	ASSUME	 CS:CODE,DS:DATA
START:	
		MOV  AX,DATA
		MOV  DS,AX				
		MOV  DX,PORTD
		MOV  AL,10010000B		
		OUT   DX,AL
AA1:	
		MOV  DX,PORTA 
		IN	 AL,DX				
		AND  AL,0FH 			
		CALL DELAY				
		MOV BX,OFFSET LED		
		XLAT				
		MOV DX,PORTB			
		OUT  DX,AL				
		JMP  AA1				
DELAY:	
		PUSH CX
		MOV  CX,0F00H			
AA2:		PUSH AX
	        POP   AX
		LOOP AA2				
		POP CX
		RET						
CODE	ENDS
		END START
(只提供代码,不提供代码注释)

三. 实验结果

并行口实验代码,单片机,proteus,硬件工程

并行口实验代码,单片机,proteus,硬件工程

        如需要硬件连接图和详细的实验报告,请自行点击下面网址付积分查看。

微型计算机原理与接口技术并行接口仿真实验_proteus右击主控芯片-单片机文档类资源-CSDN下载文章来源地址https://www.toymoban.com/news/detail-755522.html

到了这里,关于微机原理:并行接口实验(7七段数码管显示)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 仿真软件proteus构建七段数码管显示数字0-9实验

        七段数码管显示原理研究在前一篇博客中已经阐述过,就是利用7个数码管构建一个“8”字形,然后利用数字的形状特点,依次点亮某一些段,就可以显示数字了。     七段数码管显示数字还是二极管发光原理的利用。     这里依旧采用proteus构建硬件工程,单片机类型

    2024年02月11日
    浏览(37)
  • 【FPGA】Verilog:组合逻辑电路应用 | 数码管 | 8421BCD编码 | 转换七段数码管段码

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:数码管的使用 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用IO:Switch :

    2024年02月03日
    浏览(36)
  • FPGA设计开发(基础课题):七段数码管设计

    一、设计目的 FPGA驱动七段数码管实现十六进制计数显示。 二、设计原理 七段数码管分共阳极与共阴极两种。共阳极数码管其工作特点是,当笔段电极接低电平,公共阳极接高电平时,相应笔段可以发光。共阴极数码管则与之相反,它是将发光二极管的阴极短接后作为公共阴

    2024年02月07日
    浏览(37)
  • python小程序之七段数码管的绘制

    今天我们学习了七段数码管的绘制,通过一个程序学习了数字用七段数码的绘制。首先我们看下图的绘制,我们先理解下各个数字由几步线条组成。  然后回到我们的程序,先给大家看看我们的程序图,因为小编录屏很模糊,但是运行的时候它是一笔一笔的绘制出来的,结果

    2024年02月02日
    浏览(29)
  • 6、七段数码管显示译码器设计与应用

    掌握七数码管显示原理 掌握七段码显示译码设计 进一步熟悉 Xilinx ISE 环境及 SWORD 实验平台 任务 1:原理图设计实现显示译码 MyMC14495 模块 任务 2:用 MyMC14495 模块实现数码管显示 1.创建工程并绘制原理图 建立工程 MyMC14495,创建原理图文件 MyMC14495,按原理图绘制 2.原理图仿真

    2024年02月07日
    浏览(30)
  • Python turtle绘图——七段数码管显示数字和字母

    七段数码管由7条线组成,以下图所标识的画图顺序为准进行程序设计。   首先导入turtle库并将其重命名为t。 定义函数drawGap()用于绘制间隙,通过将画笔抬起,并向前移动5个单位,来实现间隙的绘制。 定义函数drawLine(draw)用于绘制线段,通过判断参数 draw 的布尔值,决定是

    2024年02月06日
    浏览(42)
  • Python——用python语言对七段数码管进行绘制

    前言: 本篇主要介绍函数和代码的复用,以七段数码管绘制为例,介绍函数的定义和使用。 一、实例1:七段数码管的绘制 (1)七段数码管 ①举例:它是由七段小的数码管构成的一个数字。 ②选择不同的数码管的量或者面。可以形成0到9、A到F不同的数字和字母组合。 可通过

    2024年02月05日
    浏览(26)
  • 【微机原理课设protues-汇编】基于8086四种波形发生器仿真设计-基于8086数码管矩阵键盘控制设计-基于8086微机原理直流电机控制系统-基于单片机PWM直流电机控制系统设计汇编

    文章转自电设屋,资料百度网盘下载地址  https://www.aiesst.cn/share.html 目录 1207基于8086四种波形发生器仿真设计-微机原理汇编仿真资料 1202基于8086数码管矩阵键盘控制设计-全套资料 1218基于8086智能汽车控制系统设计-微机原理课设 1209基于8086微机原理直流电机控制系统-设计资料

    2024年02月12日
    浏览(30)
  • 【verilog】用七段数码管显示二进制编码的十进制数

    用七段数码管显示0~9,输入为四个信号,这四位二进制数表示十进制的0~9 图1 逻辑电路与七段显示器 图2 真值表 根据卡诺图,得出a~g的逻辑表达式: 硬件描述语言: 图4 代码编译成功 图5 电路图 图6 仿真波形 表1 端口管脚分配表 端口 使用模块信号 对应FPGA管脚 功能说明

    2023年04月25日
    浏览(39)
  • 【FPGA】Verilog:实现十六进制七段数码管显示 | 7-Segment Display

    写在前面: 本章主要内容为理解七点数码管显示的概念,并使用 Verilog 实现。生成输入信号后通过仿真确认各门的动作,通过 FPGA 检查在 Verilog 中实现的电路的操作。 七段数码管是利用多重输出功能的非常有用的元件。该元件用于字符化,如十进制、十六进制数等。适当配

    2024年02月02日
    浏览(35)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包