【FPGA入门】第八篇、FPGA驱动VGA实现动态图像移动

这篇具有很好参考价值的文章主要介绍了【FPGA入门】第八篇、FPGA驱动VGA实现动态图像移动。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

目录

第一部分、实现效果

第二部分、动态VGA显示的原理

1、将动态显示的区域提前进行赋值

2、图像块的移动是每张图片叠加后的效果

3、如何实现图像块位置的改变

第三部分、系统结构和驱动波形

1、系统的Top-down结构

2、图像块移动的驱动波形

第四部分、代码

1、同步信号驱动vga_driver.v

2、方块移动和rgb输出模块rgb.out.v

3、顶层模块top_vga_move.v

第五部分、总结

1、关于显示的范围无法填满整个屏幕的问题

2、源码地址


第一部分、实现效果

FPGA驱动VGA实现动态图像移动

第二部分、动态VGA显示的原理

        首先,本次测试的效果还是在显示器分辨率为:640*480@60Hz的情况下进行测试。

1、将动态显示的区域提前进行赋值

        如果假设整块屏幕显示的是彩条,这个时候我想要一个图像块在这个彩条图像上移动,那么rgb应该优先被赋值为该图像块的显示内容,然后rgb再被赋值为彩条。

2、图像块的移动是每张图片叠加后的效果

        白色的图像块看起来运动的很流畅,其实是人眼的视觉差,因为分辨为640*480@60Hz,表示电脑屏幕1s的时间要显示60张图片,图像块的移动是因为该图像块在每张图片上的位置都改变一次,因此就能看到白色图像块流畅的移动。

3、如何实现图像块位置的改变

        定义两个计数器,x,y。假设每一帧画面显示完,就让x和y进行加1。当x或者y超过范围时就进行自减,这样就会形成块状碰到屏幕边沿之后图像块返回的动画。

        如果这里没有看懂,可以去参考前面这篇博客。

fpga实现vga,FPGA的学习之旅,fpga开发

第三部分、系统结构和驱动波形

        FPGA的设计,清晰的设计思路是最重要的,如何做到时刻保持一个清晰的设计思路,我个人认为最好的解决办法就是将各种结构图,波形时序图用画图软件提前画出来,再去考虑怎么写代码。但是这样的后果就是要花费很多的时间,有时候做个实验要很久,害,鱼与熊掌不可兼得🤪。

1、系统的Top-down结构

        前一篇文章在vga驱动这部分写的不容易移植,这里我改写代码,定义了很多参数,使VGA的驱动代码移植起来比较容易。

fpga实现vga,FPGA的学习之旅,fpga开发

2、图像块移动的驱动波形

        由上面的top-down结构图可知,我把vga的驱动分成了两个部分,vga_driver模块主要负责实现行同步信号和场同步信号的驱动。如下图

fpga实现vga,FPGA的学习之旅,fpga开发

         仿真波形验证vga_driver模块是否符合逻辑要求,这里是我的截图不太清楚,你要是对自己写的代码放心,就不需要做仿真。

fpga实现vga,FPGA的学习之旅,fpga开发

         rgb_out模块用来实现方块的移动和rgb输出,方块移动的驱动波形图如下图所示

fpga实现vga,FPGA的学习之旅,fpga开发

         仿真波形检查flag反转时,计数器x和y的值是否和上面的方块驱动波形一致。

fpga实现vga,FPGA的学习之旅,fpga开发

第四部分、代码

1、同步信号驱动vga_driver.v

        可移植性较好的vga同步信号驱动程序。

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : vga_driver.v
// Create : 2023-06-07 19:56:03
// -----------------------------------------------------------------------------
module vga_driver
//参数定义
#(
	//分辨率640*480@60Hz 
	parameter H_CNT_BIT_WIDTH   =   10'd10  ,   //行扫描计数器位宽
			  H_SYNC    		=   10'd96  ,   //行同步
	          H_BACK    		=   10'd40  ,   //行时序后沿
	          H_LEFT    		=   10'd8   ,   //行时序左边框
	          H_VALID   		=   10'd640 ,   //行有效数据
	          H_RIGHT   		=   10'd8   ,   //行时序右边框
	          H_FRONT   		=   10'd8   ,   //行时序前沿
	          H_TOTAL   		=   10'd800 ,   //行扫描周期

	          V_CNT_BIT_WIDTH   =   10'd10  ,   //场扫描计数器位宽
	  		  V_SYNC   			=   10'd2   ,   //场同步
	          V_BACK   			=   10'd25  ,   //场时序后沿
	          V_TOP    			=   10'd8   ,   //场时序上边框
	          V_VALID  			=   10'd480 ,   //场有效数据
	          V_BOTTOM 			=   10'd8   ,   //场时序下边框
	          V_FRONT  			=   10'd2   ,   //场时序前沿
	          V_TOTAL  			=   10'd525 )   //场扫描周期
//模块端口定义
(
	input wire clk,//分辨率640*480@60Hz对应于25MHz的时钟
	input wire rst_n,
	output reg hsync,
	output reg vsync,
	output reg [H_CNT_BIT_WIDTH-1'b1 : 0] hsync_cnt,
	output reg [V_CNT_BIT_WIDTH-1'b1 : 0] vsync_cnt
	);

//行扫描计数器
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		hsync_cnt <= 'd0;
	end
	else if (hsync_cnt == H_TOTAL - 1'b1) begin
		hsync_cnt <= 'd0;
	end
	else begin
		hsync_cnt <= hsync_cnt + 1'b1;
	end
end
//行同步信号
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		hsync <= 1'b1;
	end
	else if (hsync_cnt == H_SYNC  - 1'b1) begin
		hsync <= 1'b0;
	end
	else if(hsync_cnt == H_TOTAL - 1'b1)begin
		hsync <= 1'b1;
	end
end


//场扫描计数器
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		vsync_cnt <= 'd0;
	end
	else if ((vsync_cnt == V_TOTAL - 1'b1)   &&  (hsync_cnt == H_TOTAL - 1'b1)) begin
		vsync_cnt <= 'd0;
	end
	else if (hsync_cnt == H_TOTAL - 1'b1) begin
		vsync_cnt <= vsync_cnt + 1'b1;
	end
end

//场同步信号
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		vsync <= 1'b1;	
	end
	else if ((hsync_cnt == H_TOTAL - 1'b1) && (vsync_cnt == V_SYNC - 1'b1)) begin
		vsync <= 1'b0;
	end
	else if((hsync_cnt == H_TOTAL - 1'b1) && (vsync_cnt == V_TOTAL - 1'b1)) begin
		vsync <= 1'b1;
	end
end

endmodule

2、方块移动和rgb输出模块rgb.out.v

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : rgb_out.v
// Create : 2023-06-07 20:51:48
// -----------------------------------------------------------------------------
module rgb_out
//参数定义
#(
	//分辨率640*480@60Hz 
	parameter H_CNT_BIT_WIDTH   =   10'd10  ,   //行扫描计数器位宽
			  H_SYNC    		=   10'd96  ,   //行同步
	          H_BACK    		=   10'd40  ,   //行时序后沿
	          H_LEFT    		=   10'd8   ,   //行时序左边框
	          H_VALID   		=   10'd640 ,   //行有效数据
	          H_RIGHT   		=   10'd8   ,   //行时序右边框
	          H_FRONT   		=   10'd8   ,   //行时序前沿
	          H_TOTAL   		=   10'd800 ,   //行扫描周期

	          V_CNT_BIT_WIDTH   =   10'd10  ,   //场扫描计数器位宽
	  		  V_SYNC   			=   10'd2   ,   //场同步
	          V_BACK   			=   10'd25  ,   //场时序后沿
	          V_TOP    			=   10'd8   ,   //场时序上边框
	          V_VALID  			=   10'd480 ,   //场有效数据
	          V_BOTTOM 			=   10'd8   ,   //场时序下边框
	          V_FRONT  			=   10'd2   ,   //场时序前沿
	          V_TOTAL  			=   10'd525 )   //场扫描周期
(
	input wire clk,  //分辨率640*480@60Hz对应于25MHz的时钟
	input wire rst_n,
	output wire hsync,
	output wire vsync,
	output reg [7:0]rgb
	);
//方块的长和宽
parameter LENGTH = 200;
parameter WIDTH  = 200;

reg frame_flag;             		//帧结束标志 
reg [H_CNT_BIT_WIDTH-1 : 0] x;      //位置变量x,这里位宽和hsync_cnt计数器一致,是绝对满足要求的
reg turn_flag_x;            		//位置变量x翻转标志


reg [V_CNT_BIT_WIDTH-1 : 0] y;      //位置变量y,这里位宽和vsync_cnt计数器一致,是绝对满足要求的
reg turn_flag_y;            		//位置变量y翻转标志


wire [H_CNT_BIT_WIDTH-1 : 0] hsync_cnt;
wire [V_CNT_BIT_WIDTH-1 : 0] vsync_cnt;
//例化驱动程序
vga_driver  inst_a_vga_driver (
		.clk       (clk),
		.rst_n     (rst_n),
		.hsync     (hsync),
		.vsync     (vsync),
		.hsync_cnt (hsync_cnt),
		.vsync_cnt (vsync_cnt)
	);


//帧结束标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		frame_flag <= 1'b0;
	end
	else if ((hsync_cnt == H_TOTAL - 2) && (vsync_cnt == V_TOTAL - 1)) begin
		frame_flag <= 1'b1;
	end
	else begin
		frame_flag <= 1'b0;
	end
end

//变量y
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		y <= 'd0;
	end
	else if ((turn_flag_y == 1'b0 && frame_flag == 1'b1 && (y == V_VALID - WIDTH - 1)) || (turn_flag_y == 1'b1 && frame_flag == 1'b1 && (y == 'd0)))begin
		y <= y;
	end
	else if (turn_flag_y == 1'b0 && frame_flag == 1'b1) begin
		y <= y + 1'b1;
	end
	else if (turn_flag_y == 1'b1 && frame_flag == 1'b1) begin
		y <= y - 1'b1;
	end

end

//位置变量y翻转标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		turn_flag_y <= 1'b0;
	end
	else if ((y == V_VALID - WIDTH - 1) && frame_flag == 1'b1 && turn_flag_y == 1'b0) begin
		turn_flag_y <= 1'b1;
	end
	else if (y == 'd0 && frame_flag == 1'b1 && turn_flag_y == 1'b1) begin
		turn_flag_y <= 1'b0;
	end
end



//变量x
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		x <= 'd0;
	end
	else if((turn_flag_x == 1'b0 && frame_flag == 1'b1 && x == (H_VALID - LENGTH - 1'b1)) || (turn_flag_x == 1'b1 && frame_flag == 1'b1 && x == 'd0)) begin
		x <= x;
	end
	else if (turn_flag_x == 1'b0 && frame_flag == 1'b1) begin
		x <= x + 1'b1;
	end
	else if (turn_flag_x == 1'b1 && frame_flag == 1'b1) begin
		x <= x - 1'b1;
	end
end

//位置变量x翻转标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		turn_flag_x <= 1'b0;
	end
	else if (turn_flag_x == 1'b0 && frame_flag == 1'b1 && x == H_VALID - LENGTH - 1'b1) begin
		turn_flag_x <= 1'b1;
	end
	else if (turn_flag_x == 1'b1 && frame_flag == 1'b1 && x == 'd0) begin
		turn_flag_x <= 1'b0;
	end
end


//rgb输出
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		rgb <= 8'b000_000_00;
	end
	//白色方块
	else if((hsync_cnt>= H_SYNC + H_BACK + H_LEFT + x) && (hsync_cnt <= H_SYNC + H_BACK + H_LEFT + x + 200) &&(vsync_cnt>= V_SYNC + V_BACK + V_TOP + y) && (vsync_cnt <= V_SYNC + V_BACK + V_TOP + y + 200)) begin
		rgb <= 'b111_111_11;//白色
	end
	//横彩条
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && (vsync_cnt>= V_SYNC + V_BACK + V_TOP) && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 159) begin
		rgb <= 'b111_000_00;//红色
	end
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && vsync_cnt>= V_SYNC + V_BACK + V_TOP + 160 && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 160 + 159)begin
		rgb <= 'b000_111_00;//绿色
	end
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && vsync_cnt>= V_SYNC + V_BACK + V_TOP + 160 + 160 && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 160 + 160 + 159)begin
		rgb <= 'b000_000_11;//蓝色
	end
	else begin//其它区域
        rgb <= 'b000_000_00;//不显示
    end
end


endmodule

3、顶层模块top_vga_move.v

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : top_vga_move.v
// Create : 2023-06-09 12:59:01
// -----------------------------------------------------------------------------
module top_vga_move(
	input wire clk,//50M板载时钟
	input wire rst_n,
	output wire hsync,
	output wire vsync,
	output wire [7:0]rgb
	);

wire clk_25M;

//例化锁相环产生的25MHz时钟	
gen_clk25 inst_gen_clk25(
	// Clock in ports
	.CLK_IN1(clk),      // IN
	// Clock out ports
	.CLK_OUT1(clk_25M));    // OUT

//rgb输出模块例化
rgb_out  inst_rgb_out (
	.clk   (clk_25M),
	.rst_n (rst_n),
	.hsync (hsync),
	.vsync (vsync),
	.rgb   (rgb)
	);

endmodule

第五部分、总结

1、关于显示的范围无法填满整个屏幕的问题

        注意:一般你们不会遇到这种问题,遇到了也别慌,这不是代码的问题,需要设置一下显示器,我的显示器按下这个按键就可以了

fpga实现vga,FPGA的学习之旅,fpga开发

2、源码地址

        关于本次设计的工程代码下载链接如下,免费工程审核了两天不给过,这里我设置为1个积分下载,20分钟就给我审核过了,哈哈,不怪我,真不怪我,没积分的留下邮箱即可:       

        【FPGA入门】第八篇、FPGA驱动VGA实现动态图像移动

        最后希望我的博客对你有帮助,都看到这里了,要不点个赞再走🤩🤩文章来源地址https://www.toymoban.com/news/detail-756002.html

到了这里,关于【FPGA入门】第八篇、FPGA驱动VGA实现动态图像移动的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于FPGA的VGA图像显示

    引言:本文我们介绍利用FPGA实现VGA图像显示,主要介绍VGA硬件接口、VGA接口时序原理以及FPGA代码实现VGA接口时序、仿真等内容。 VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口

    2024年02月06日
    浏览(26)
  • FPGA图像音频通信接口:VGA

    目录 VGA 1 VGA彩条显示实验 2、VGA方块移动实验 3 VGA字符显示实验    VGA是IBM公司在1987年推出的一种视频传输标准,具有分辨率高,显示速率快、颜色丰富等优点。VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传输的标准。 VGA接口定义及各引脚功

    2023年04月08日
    浏览(29)
  • FPGA_简单工程_VGA显示驱动器

    一 理论 使用640*480@60显示模式,将数字信号转换位模拟信号,经由VGA进行显示。 使用3GM723,3路高清视频编码芯片。 3GM7123编码芯片:                                该芯片的主要功能是将RGB888的颜色数据转换成模拟的电压信号,然后进入到VGA接口的3个RGB接口。例如RGB8

    2024年02月20日
    浏览(30)
  • SpringSecurity6从入门到上天系列第八篇:SpringSecurity当中的默认登录页面是如何产生的?

    😉😉 欢迎加入我们的学习交流群呀! ✅✅1:这是 孙哥suns 给大家的福利! ✨✨2:我们 免费分享 Netty、Dubbo、k8s、Mybatis、Spring等等很多 应用和源码 级别的 高质量视频和笔记资料,你想学的我们这里都有! 🥭🥭3:QQ群: 583783824   📚📚  工作微信: BigTreeJava 拉你进微信

    2024年02月04日
    浏览(36)
  • FPGA_工程_基于Rom的VGA图像显示

    一 工程框图 框图中,CLK_in,Vga_ctrl,Vga_pic模块已有,只需要对顶层模块进行修改,并将rom ip例化添加到Vga_pic模块的.v文件中,对Vga_pic的.v文件进行一定修改。 二 理论补充 显示图像的方法:                           使用matlab将图像格式转化为,.mif数据文件,再使用.m

    2024年02月20日
    浏览(28)
  • FPGA入门系列14--VGA

    文章简介 本系列文章主要针对FPGA初学者编写,包括FPGA的模块书写、基础语法、状态机、RAM、UART、SPI、VGA、以及功能验证等。将每一个知识点作为一个章节进行讲解,旨在更快速的提升初学者在FPGA开发方面的能力,每一个章节中都有针对性的代码书写以及代码的讲解,可作

    2024年02月03日
    浏览(24)
  • spring boot学习第八篇:通过spring boot、jedis实现秒单

    参考:Redis实现分布式锁的7种方案 - 知乎 1、 准备数据库表,如下SQL表示库存表,有主键ID和库存数量字段 初始数据id           quantity               1111       9 2、pom.xml文件 3、应用配置文件 4、StockMapper.xml如下 5、BackendApplication 6、Stock.java如下 7、StockMapper 8、OrderContr

    2024年01月19日
    浏览(41)
  • FPGA--OV7725摄像头采集与VGA显示实验--1--OV7725使用与驱动协议

    目录        前言 OV7725引脚及功能框图 参数指标 引脚 功能框图 SCCB时序及读写操作  SCCB时序特点 读写实现 OV7725寄存器常用配置参数              摄像头采集是图像处理的第一步,本章节分为多部分,旨在让大家学会如何使用OV7725采集图像,并且使用VGA协议显示出来。

    2023年04月08日
    浏览(46)
  • 第八篇: K8S Prometheus Operator实现Ceph集群企业微信机器人告警

    我们的k8s集群与ceph集群是部署在不同的服务器上,因此实现方案如下: (1) ceph集群开启mgr内置的exporter服务,用于获取ceph集群的metrics (2) k8s集群通过 Service + Endponit + ServiceMonitor建立ceph集群metrics与Prometheus之间的联系 建立一个 ServiceMonitor 对象,用于 Prometheus 添加监控项; 为

    2024年02月14日
    浏览(29)
  • 类EMD的“信号分解方法”及MATLAB实现(第八篇)——离散小波变换DWT(小波分解)

    在之前的系列文章里,我们介绍了EEMD、CEEMD、CEEMDAN、VMD、ICEEMDAN、LMD、EWT,我们继续补完该系列。 今天要讲到的是小波分解,通常也就是指离散小波变换(Discrete Wavelet Transform, DWT)。在网上有一些介绍该方法的文章,但是总感觉不够通俗或不够透彻,希望读完这篇能让你有

    2024年02月07日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包