任意进制计数器12进制计数数码管显示verilog代码

这篇具有很好参考价值的文章主要介绍了任意进制计数器12进制计数数码管显示verilog代码。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:任意进制计数器12进制计数数码管显示verilog代码

软件:VIVADO

语言:Verilog

代码功能:

设计一个12进制计数器,计数值00-11需要在数码管上显示,

时钟脉冲通过按键开关设计。

电路的输入信号en进行清零。

本代码可以修改为任意进制计数器,即修改计数控制模块的红框内代码,如下所示:

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com

本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管脚适配:

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

代码下载:任意进制计数器12进制计数数码管显示verilog代码ego1开发板_Verilog/VHDL资源下载名称:任意进制计数器12进制计数数码管显示verilog代码(代码在文末下载)软件:VIVADO语言:Verilog代码功能:设计一个12进制计数器,计数值00-11需要在数码管上显示,时钟脉冲通过按键开关设计。电路的输入信号en进行清零。本代码可以修改为任意进制计数器,即修改计数控制模块的红框内代码,如下所示:FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com本代码http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=311

1. 工程文件

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

2. 程序文件

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

3. 程序编译

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

4. RTL图

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

6. Testbench

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

7. 仿真图

整体仿真图

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

按键模块

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

控制模块

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

显示模块

任意进制计数器12进制计数数码管显示verilog代码,fpga开发,计数器,ego1,vivado,verilog

部分代码展示:文章来源地址https://www.toymoban.com/news/detail-756413.html

`timescale 1ns / 1ps
//设计一个计数器
module shift_num(
    input clk_100M,
    input en_key,//清零
    input shift_key,//手动按键
    output  [3:0] weixuan,//高选
    output  [7:0] duanxuan//高选
    );
wire [7:0] num;
wire shift_key_en;
//按键消抖
key_jitter i_key_jitter(
. clkin(clk_100M),
. key_in(shift_key),//输入
. key_posedge(shift_key_en)//消抖后按键上升沿
);
//计数控制模块
shift_ctrl i_shift_ctrl(
. clk(clk_100M),
. en_key(en_key),//清零
. shift(shift_key_en),//手动按键
. num(num)//数
    );
//数码管显示模块
display_drive i_display_drive(
. clk(clk_100M),
. num(num),//数
. weixuan(weixuan),//高选
. duanxuan(duanxuan)//高选
);
endmodule

到了这里,关于任意进制计数器12进制计数数码管显示verilog代码的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字电路13-任意进制计数器设计

    因为市面上的进制计数器的种类优先,所以需要特定进制时,只能自己在已有产品的基础上构成需要的特定进制。 十进制计数器也是通过4位二进制计数器,去掉多余状态所得 根据十进制计数器,可得M进制计数器的特点,即状态数、脉冲数、末状态 如前面的十进制计数器,

    2024年02月07日
    浏览(42)
  • 任意进制加法计数器电路设计

    目录 一、题目 二、时钟发生电路 1、施密特触发电路 2、单稳态电路 3、多谐振荡电路 三、 N进制计数器 1、M的情形 2、MN的情形 2、1  193实现 2、2 192实现 四、设计的小bug 1、两个bug 2、bug的原因及解决 五、仿真源文件的获取 图一 题目要求         如题,我们要设计三部

    2024年02月09日
    浏览(38)
  • 使用74LS161设计任意进制计数器

    可预置的四位二进制同步计数器74LS161具有并行预置数据、清零、置数、计数和保持功能,并且有进位输出端,可以串接计数器使用。引脚排列如图7-1所示,功能表见7-2所示。 图7-1 74LS161引脚排列 从下表7-2中可知,该计数器具有信号清零端 ,信号使能端CEP、CET,信号置数端

    2024年02月02日
    浏览(48)
  • 【FPGA】Verilog:计数器 | 异步计数器 | 同步计数器 | 2位二进制计数器的实现 | 4位十进制计数器的实现

    目录 Ⅰ. 实践说明 0x00 计数器(Counter) 0x01 异步计数器(Asynchronous Counter)

    2024年02月05日
    浏览(46)
  • 【FPGA】Verilog:时序电路设计 | 二进制计数器 | 计数器 | 分频器 | 时序约束

    前言: 本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载 示例:计数器与分频器   ​​ 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片  配置方式:USB-JTAG/SPI Flash 高达100MHz 的内部时钟速度  存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A) 通用

    2024年02月02日
    浏览(48)
  • 用verilog写一个60进制计数器

    你好!下面是一个使用 Verilog 写的 60 进制计数器的示例代码。这个计数器有四个输入: clock :时钟信号。 reset :当设为高电平时,计数器会重置为 0。 enable :当设为高电平时,计数器才会执行计数操作。 count_up :当设为高电平时,计数器会执行加 1 操作;当设为低电平时

    2024年02月11日
    浏览(35)
  • 用74LS73设计四位二进制加法计数器和8421BCD加法计数器

     (1)用2片74LS73实现该电路,由CP端输入单脉冲,设计并画出4位异步二进制加法计数器电路图。  (2)由CP端输入单脉冲,测试并记录Q1~Q4端状态及波形。 四位二进制加法计数器状态迁移表如下: Q 4n Q 3n Q 2n Q 1n Q 4n+1 Q 3n+1 Q 2n+1 Q 1n+1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0

    2024年02月10日
    浏览(71)
  • 实现十进制计数器EDA|FPGA

    实验内容 有限状态机设计:实现十进制计数器 实验目的 有限状态机设计:实现十进制计数器 软件流程(硬件连接) ①新建工程 ②创建半加器原理图 ③将设计项目设置成可调用的元件 ④尝试运行代码 ⑤新建文件输出代码的波形  四、代码  五、实验结果及分析  

    2024年02月03日
    浏览(38)
  • Verilog数字系统设计——10进制计数器,具有异步复位功能

    编程实现10进制计数器,具有异步复位功能,十位和个位用8421BCD码表示,各端口定义如下图所示: 仔细考虑端口定义中每个端口的含义; 要求完成程序编辑、编译、时序仿真; 实验提交Verilog设计文件(.v文件)、仿真波形截图以及对于第3个步骤所提出问题的回答,文件打包

    2024年02月11日
    浏览(27)
  • 【数电实验3】Verilog—1位十进制可逆计数器

    【2022.04西南交大数电实验】 【2022.04.17 更新修改了一个错误: ~clr改为了clr: 另外 ,把代码修得整齐好看了一点】 【代码参考博主weixin_49270464,已进行适当修改,符合实验要求。本代码及波形已通过老师验收。仅供参考。】    信号名 主板器件 PIN 信号名 主板器件 PIN clr

    2024年02月06日
    浏览(41)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包