电话拨号显示器verilog代码ego1开发板vivado软件

这篇具有很好参考价值的文章主要介绍了电话拨号显示器verilog代码ego1开发板vivado软件。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:电话拨号显示器verilog代码ego1开发板vivado软件

软件:VIVADO

语言:Verilog

代码功能:

电话拨号显示

1、具体0~9的数字按键

2、有开始、拨出、接通、删除按键

3、按下“开始”按键后,可以按数字键进行拨号,每按一次数字,整体号码左移一位,输入错误时可以删除

4、输入完号码后,按下“拨出键”拨出,此时号码会在数码管上滚动显示

5、按下“接通”键后,开始通话计时,数码管显示时间分、秒

FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com

本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管脚适配:

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

代码下载:电话拨号显示器verilog代码ego1开发板vivado软件名称:电话拨号显示器verilog代码ego1开发板vivado软件(代码在文末下载)软件:VIVADO语言:Verilog代码功能:电话拨号显示1、具体0~9的数字按键2、有开始、拨出、接通、删除按键3、按下“开始”按键后,可以按数字键进行拨号,每按一次数字,整体号码左移一位,输入错误时可以删除4、输入完号码后,按下“拨出键”拨出,此时号码会在数码管上滚动显示5、按下“接通”键后,开始通话计时,http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=310

1. 工程文件

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

2. 程序文件

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

3. 程序编译

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

4. RTL图

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

5. 管脚分配

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

6. Testbench

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

7. 仿真图

整体仿真图

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话输入模块

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

控制模块

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

显示模块

电话拨号显示器verilog代码ego1开发板vivado软件,fpga开发,ego1,电话拨号,verilog,vivado

部分代码展示:文章来源地址https://www.toymoban.com/news/detail-757012.html

//控制模块
module tele_ctrl(
input clk,//50M
input reset,//复位
input key_start,//开始
input key_call,//拨出
input key_connect,//接通
input [43:0] telephone_num,//输入号码
output [31:0] display_number,//显示值
output [2:0] current_state//当前状态
);
parameter second_time_cnt=32'd100_000_000;
//定义状态
parameter s_idle=3'd0;
parameter s_num=3'd1;
parameter s_shift=3'd2;
parameter s_time=3'd3;
reg [2:0] state=3'd0;
assign current_state=state;
//状态机控制
always@(posedge clk or negedge reset)
if(reset==0)
state<=s_idle;
else
case(state)
s_idle:
if(key_start)//开始
state<=s_num;
s_num:
if(key_call)//拨出
state<=s_shift;
s_shift://移位
if(key_connect)
state<=s_time;
s_time://计时
state<=s_time;
default:;
endcase
reg [31:0] second_cnt;
reg  second_en;
always@(posedge clk or negedge reset)
if(reset==0)begin
second_cnt<=32'h0;
second_en<=0;
end
else if(state==s_time || state==s_shift)//计时
if(second_cnt>=second_time_cnt)begin//计时1秒
second_cnt<=32'h0;
second_en<=1;
end
else begin
second_cnt<=second_cnt+32'h1;//计数
second_en<=0;
end
else begin
second_cnt<=32'h0;
second_en<=0;
end
reg [7:0] second;
reg [7:0] minute;
always@(posedge clk or negedge reset)
if(reset==0)begin
second<=8'h0;
minute<=8'h0;
end
else if(state==s_time)//计时状态
if(second>=8'd59)begin//计时1秒
second<=8'h0;
minute<=minute+8'h1;//分计时
end
else begin
second<=second+8'h1;//秒计时
minute<=minute;
end
else begin
second<=8'h0;
minute<=8'h0;
end
wire [3:0] second_ten;
wire [3:0] second_one;
wire [3:0] minute_ten;
wire [3:0] minute_one;
assign second_ten=second/10;
assign second_one=second%10;
assign minute_ten=minute/10;
assign minute_one=minute%10;
reg [43:0] number;
always@(posedge clk or negedge reset)
if(reset==0)
number<=44'h0;
else if(state==s_num)//拨号
number<=telephone_num;
else if(state==s_shift && second_en)//1秒移位1次
number<={number[39:0],number[43:40]};
else if(state==s_time)//显示时间
number<={32'd0,minute_ten,minute_one,second_ten,second_one};
assign display_number=number[31:0] ;
endmodule

到了这里,关于电话拨号显示器verilog代码ego1开发板vivado软件的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vivado序列检测器verilog代码ego1开发板验证

    名称:vivado序列检测器verilog代码ego1开发板验证 软件:VIVADO 语言:Verilog 代码功能: 设计一个111序列检测器。 要求:当检测到3个或3个以上的1时,输出为1,其他输入情况输出为0. 画出状态转移图,完成 Verilog描述。 本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管

    2024年02月03日
    浏览(42)
  • VIVADO自动售票机售货机verilog代码ego1开发板验证

    名称:VIVADO自动售票机售货机verilog代码ego1开发板验证 软件:VIVADO 语言:Verilog 代码功能: 自动售票机  1、自动售票机只出售1角、2角、5角和1元4种车票 2、只接收1角、5角和1元硬币,每次只能出售1张车  3、自动售票机具有累加销售额的功能 实验要求  出售车票用SW0~3分别

    2024年02月05日
    浏览(32)
  • 电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板

    名称:电子拔河比赛游戏机的设计Verilog代码vivado  ego1开发板(文末获取) 软件:vivado 语言:Verilog 代码功能: 电子拔河比赛游戏机的设计 时中间的LED亮。比赛时双方通过按扭使中间亮的LED向己方移动,当亮至某    电子拔河游戏机供2~3人玩耍。由一排LED表示拔河的“电子绳”

    2024年01月21日
    浏览(40)
  • 基于ego1开发板的万年历自动数字日历设计verilog代码

    名称:基于ego1开发板的万年历自动数字日历设计verilog代码 软件:VIVADO 语言:Verilog 代码功能: 自动数字日历设计  设计自动数字日历,用七段数字显示器显示年(后2位)、月、日和星期数,在计日脉冲的作用下,自动完成1-12月的月、日及星期的计数和显示。 FPGA代码Verilog/VHDL代码

    2024年02月03日
    浏览(27)
  • 4人竞赛数字抢答器vivado软件verilog代码ego1开发板

    名称:4人竞赛数字抢答器vivado软件verilog代码ego1开发板 软件:VIVADO 语言:Verilog 代码功能: 数字抢答器的设计任务说明: 设计一个可供4人竞赛的数字抢答器。 (1)具有定时抢答功能,且一次抢答的时间由主持人设定,本抢答器的时间 设定为60秒以内,当主持人启动“开始”开关后

    2024年02月05日
    浏览(27)
  • vivado交通灯设计verilog代码ego1板红绿灯时间可修改

    名称:vivado交通灯设计verilog代码ego1板红绿灯时间可修改 软件:VIVADO 语言:Verilog 代码功能: 十字路口红绿灯设计; 1、每次通行时间可在0-99秒内设定,可以通过按键修改通行时间。 2、路口四边均设红黄绿三色信号灯和用于计时的两位数码管显示时间(十进制数)。 3、黄灯亮

    2024年02月04日
    浏览(29)
  • 售货机基于ego1开发板的自动饮料机verilog代码vivado软件

    名称:售货机基于ego1开发板的自动饮料机verilog代码vivado软件 软件:VIVADO 语言:Verilog 代码功能: 设计一个自动饮料机逻辑电路, 它的投币口只能投入一枚五角或一元硬币; 投入一元五角硬币后机器自动给出一杯饮料,投入两元硬币后再给出饮料的同时找回一枚五角硬币;

    2024年01月18日
    浏览(35)
  • 【FPGA显示驱动(Display)】- 使用Verilog实现8位数字显示器

    【FPGA显示驱动(Display)】- 使用Verilog实现8位数字显示器 FPGA是一种可编程的逻辑器件,它可以通过不同的配置来实现多种应用。在本文中,我们将探讨如何使用Verilog语言在FPGA上实现8位数字显示器。 硬件环境 Xilinx ISE Design Suite 14.7 FPGA开发板 八段数码管 设计实现 在Verilog代

    2024年02月04日
    浏览(28)
  • 基于Verilog HDL LCD1602显示器的设计

    昨天刚结束FPGA的课程设计,做的题目是用Verilog HDL编写LCD1602字符显示程序,并在开发板DE2-115上进行演示,实现的功能是显示移动字符和滚动字符,并通过一个开关来控制模式的切换。此次课程设计参考了网站上许多前辈大佬的文章,在他们的基础上进行修改。但发现许多的

    2024年02月01日
    浏览(52)
  • FPGA—VGA 显示器显示彩条(附代码)

    目录 1. 理论 2. 实操 2.1 顶层设计 2.1.1 模块框图 2.1.2 代码编写  2.1.3 仿真验证 2.2 时钟生成模块 2.3 VGA时序控制模块 2.3.1 模块框图 2.3.2 波形图绘制 2.3.3 代码编写 2.3.4 仿真验证 2.4 图像数据生成模块 2.4.1 模块框图 2.4.2 波形图绘制 2.4.3 代码编写 3.总结 VGA简介        图像显示

    2024年02月09日
    浏览(81)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包