FPGA入门系列12--RAM的使用1

这篇具有很好参考价值的文章主要介绍了FPGA入门系列12--RAM的使用1。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

文章简介

本系列文章主要针对FPGA初学者编写,包括FPGA的模块书写、基础语法、状态机、RAM、UART、SPI、VGA、以及功能验证等。将每一个知识点作为一个章节进行讲解,旨在更快速的提升初学者在FPGA开发方面的能力,每一个章节中都有针对性的代码书写以及代码的讲解,可作为读者参考。

第十三章:RAM的使用

  在FPGA中, 不得不提的是存储器, 当我们做相关项目时,经常会遇到存储数据的问题,数据量过大时,我们可以将其存储在 FPGA 芯片的外设存储器上,比如 sdram、 ddr sdram、 ddr3 sdram 等, 然而访问外设存储器相对比较麻烦,因此当数据量较小时,我们可以直接使用 FPGA 芯片内部自带的 ram 的 IP核。
        Ram 是 random access memory 的简称,即随机存储器的意思, ram 可以按照所需进行随机读/写。我们可以通过调用 FPGA 内部的 IP 核生成一个 ram, 并通过编写 Verilog HDL 代码控制该 ram。

01 新建工程

打开ISE软件新建工程:File>>New Project。

       先建立顶层文件,点击New Source,如图1箭头所指:

fpga ram,fpga开发

图1 新建New Source

        在弹出的New Source Wizard中为模块命名以及选择存放路径,如图2所示:

fpga ram,fpga开发

图2  模块命名与路径

        点击Next,最后点击Finish完成。此时在ISE界面可以看到生成的v文件,如图3所示:

fpga ram,fpga开发

图3 生成v文件

02 调用IP核

一种是通过Tools>>Core Generator调用。(第二种调用方法见文末注释)。

fpga ram,fpga开发

图4 IP核的调用

        打开Core Generator的页面如图 5所示。

fpga ram,fpga开发

图5 打开 IP Core界面

        点击 File>>New Project 弹出如图6所示的界面,根据图 6、 图 7 的标注进行相关的设置。

fpga ram,fpga开发

图6 器件选择

fpga ram,fpga开发 

fpga ram,fpga开发 

fpga ram,fpga开发 

图9  双击选择RAM IP核

fpga ram,fpga开发 

图10 RAM命名窗口fpga ram,fpga开发

图11 RAM类型选择

        在图 11 所示的窗口中选择所需的 ram 类型,在此我们选择 Simple Dual Port RAM, 该 ram 包含两个地址总线,一个写地址和一个读地址,分别控制两个地址总线可以控制该 ram 的读和写。

 fpga ram,fpga开发

图12 RAM存储容量选择

        根据图 12 所示来选择所需的 ram 数据的位宽和深度, 此处的数据位宽和深度都不是无限的,而是要根据芯片内部的资源来定的。

fpga ram,fpga开发

图13 RAM的其它设定

        图 13 所示界面可以选择是否在 B 端(输出端) 加寄存器,加了寄存器可以使ram 输出的数据更稳定。若是需要该 ram 在被调取时被初始化,我们可以勾选图 13 标出的区域,并且加入 ram 可以识别的初始文件(coe 文件)。fpga ram,fpga开发

图14 B端口复位的设定

        若是需要对 B 端口设定复位键,可以在图 14 所示的区域勾选。fpga ram,fpga开发

图15 调用RAM的信息

        图 15 是我们调取该 IP 核的最后一步, 该界面主要总结了之间我们设定 ram,我们查看是否满足了我们的需求,若是没有满足可以退回到对应的位置重新选择,若是满足,点击 Generate 生成该 IP 核。

03 添加IP核文件到工程

右键点击ISE中的工程名, 选择Adding Source 添加我们的IP核文件(xco),如图 16 所示。

fpga ram,fpga开发

图16 添加XCO文件到工程

        之后弹出如图 17 所示的窗口,点击 OK 将该文件添加到工程。

fpga ram,fpga开发

图 17 添加文件到工程

04 编写顶层代码

 接下来写出对该 ram 控制的 Verilog HDL 代码, 要求对该 ram 进行整体的读写交替进行。

        代码示例1:

fpga ram,fpga开发

代码解析 1:
①第 16 行的 always 实现写使能(wr_en)的赋值, 当读的时候 wr_en 赋值0,写的时候 wr_en 为 1;
②第 24 行的 always 实现了写地址的产生;
③第 32 行的 always 实现了读地址的产生;
④第 41 行实现了该 ram IP 核的实例化。

05 编写测试代码

  编写相应的测试文件, 具体如代码示例 2。

        代码示例 2:

fpga ram,fpga开发

编写完测试代码后,需要将测试代码添加到工程中。

06 添加测试代码到工程

 在 ISE 工程中右键工程名称添加测试文件(点击Add Source),在如图 18 所示的窗口中选择Simulation,点击OK。

fpga ram,fpga开发

图18 添加测试文件到工程

        按照如图 19 所示的步骤打开 modelsim 软件进行仿真。

fpga ram,fpga开发

图19 通过 ISE 调取 modelsim 仿真软件

        仿真波形如图 20 所示:

fpga ram,fpga开发

图20 仿真波形。

07 注释

 正文所讲调用IP核的方法过于繁琐,旨在让初学者了解IP核的调用步骤。

        第二种方法与第一种本质上是一样的,但简便了许多。

        点击Add Source,弹出如图 21 所示界面:

fpga ram,fpga开发

图21 选择IP核文件类型

        点击Next,弹出如图22所示界面:

fpga ram,fpga开发

图22 选择RAM IP核

        点击Next>>Finish完成。接下来的步骤与正文一致(图10开始),在此不在重复讲述。文章来源地址https://www.toymoban.com/news/detail-758021.html

到了这里,关于FPGA入门系列12--RAM的使用1的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA原理与结构——RAM IP核的使用与测试

    系列文章目录:FPGA原理与结构(0)——目录与传送门 目录 一、前言 二、RAM IP核定制 1、RAM IP核 step1 打开vivado工程,点击左侧栏中的IP Catalog step2 在搜索栏搜索RAM,找到Block Memory Generator IP核: 2、IP核定制 step3 Baisc界面定制 step4 端口定制 step5 Other Options step6 Summary 3、IP核例化

    2024年02月11日
    浏览(27)
  • FPGA原理与结构(8)——块RAM(Block RAM,BRAM)

    系列文章目录:FPGA原理与结构(0)——目录与传送门         大家对于RAM应该并不陌生,RAM就是一张可读可写的存储表,它经常被拿来与ROM进行对比,相比之下,ROM只可读。而在FPGA中,RAM一般可以分成两种,一种是使用LUT资源组成的分布式RAM(DRAM),另一种就是块RAM(B

    2024年02月08日
    浏览(27)
  • 【FPGA】zynq 单端口RAM 双端口RAM 读写冲突 写写冲突

    RAM 的英文全称是 Random Access Memory,即随机存取存储器,简称随机存储器,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中读出数据,其读写速度是由时钟频率决定的。 具体的分类讲解可以看SDRAM、DRAM及DDR FLASH ROM概念详解这篇文章

    2024年02月04日
    浏览(38)
  • FPGA之分布RAM(1)

    SLICEM 资源可以实现分布式 RAM。可以实现的 RAM 类型: 单口 RAM 双端口 简单的双端口 四端口 下表给出了通过1SLICEM中的4个LUT可以实现的RAM类型         我们介绍过把 6 输入 LUT 当作 2 个 5输入 LUT 使用,在这里,就可以同一个 LUT 实现数据位宽的增加。对于32X2的4口RAM,如下图

    2024年01月20日
    浏览(38)
  • FPGA原理与结构(6)——分布式RAM(Distributed RAM,DRAM)

    系列文章目录:FPGA原理与结构(0)——目录与传送门 目录 一、RAM概述 1、RAM基本概念 2、FPGA中RAM的分类 二、DRAM详解 1、FPGA资源         2、DRAM的配置形式 2.1 Single-Port(单端口) 2.2 Dual-Port(双端口) 2.3 Quad-Port(四端口) 2.4 Simple Dual-Port(简单双端口) 2.5 更大深度  

    2024年02月08日
    浏览(25)
  • (34)FPGA IP设计(RAM)

    1.1 本节目录 1.2 IP核介绍 1.3 FPGA介绍 1.4 Verilog介绍 1.5 Vivado_RAM_IP设计 1.6 结束语 IP核有行为(Behavior)级、结构(Structure)级和物理(Physical)级三个层次的分类,对应着三个种类型的IP核,它们是由硬件描述语言设计的软核(Soft IP Core)、完成结构描述的固核(Firm IP Core) 和基于物理描述并

    2024年01月19日
    浏览(30)
  • FPGA片内RAM读写代码示例

    RAM(Random Access Memory),也就是随机存取寄存器,它可以随时把数据数据写入任一指定地址的存储单元,也可以随时从任一指定地址中读出数据,其读写速度是由时钟频率决定的。 在本例程汇总,实现了向RAM里面写入1024个数据,然后再读取出来,通过ILA(在线逻辑分析仪)进行

    2024年04月26日
    浏览(23)
  • FPGA Develop Note ——— RAM

    RAM 的英文全称是 Random Access Memory ,即随机存取存储器,简称随机存储器。它可以随时把数据 写入 任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中 读出 数据,其 读写速度是由时钟频率决定的 。 Types RAM类型 特性说明 数据读写口数量 地址口数量 Simple P

    2024年03月15日
    浏览(41)
  • FPGA中RAM的结构理解

    看代码的过程中对RAM的结构不是很理解,搞脑子一片浆糊,反复推算,好不容易理清了思路,记录下来,防止忘记。开辟的RAM总容量为128bytes,数据的位宽为32位(即一个单元有32bit数据) RAM结构示意图:

    2024年02月16日
    浏览(29)
  • 从底层结构开始学习FPGA(6)----分布式RAM(DRAM,Distributed RAM)

    文章目录 系列目录与传送门 一、什么是RAM?什么是ROM? 二、块RAM和分布式RAM 2.1、BRAM

    2024年02月02日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包