基于ESP32与AD9850的信号发生器

这篇具有很好参考价值的文章主要介绍了基于ESP32与AD9850的信号发生器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.基本理论知识概述

1.1 研究背景及意义

为了给后端电路提供一个理想信号,一般用信号发生器所产生的的信号来替代前端电路的实际信号。为了可以方便的在各种不同条件下所需的不同特性信号,就需要一个特征参数可以被认为设定的信号源。这样的信号源对于产品的研发和日常学校的电路实验很有成效。我们可以通过测量出的信号进行比对,以此来确定电路的特性和功能是否达到了所需的标准和要求在日常的生产实践和科技领域中信号发生器具有着较为广泛应用。在通信中,需要高频发射,其中的射频波指的就是载波,将音频、视频信号或脉冲信号运载发送出去,需要能产生高频的振荡器。在不同的领域中例如工业的高频感应加热、熔炼、淬火,生物医学中的核磁共振成像等都需要功率不同的,频率不同的振荡器的对于计量和校准领域来说高精度的信号发生器也可以作为标准的信号源,把参考源做为标准将需要校准的仪器调校。这证明了,信号发生器的应用领域十分的广泛。和校准领域来说高精度的信号发生器也可以作为标准的信号源,把参考源做为标准将需要校准的仪器调校。这证明了,信号发生器的应用领域十分的广泛。

DDS 技术的波形发生器的特点有以下几个:输出频率稳定、准确、波形输出质量好、输出频率范围可观。以上几个特点都是波形发生器值得我们去研究的一个重要方向。 DDS 作为一种较为先进的频率合成技术,它相较其他波形发生器比较容易通过程序来控制,且其相位连续,输出频率稳定度高、分频率高。

1.2 国内外研究现状

信号发生器是一种最悠久的仪器,早在 20 年代电子设备刚出现时它就产生了。在  70 年代以前, 信号发生器主要有两类: 正弦波和脉冲波信号发生器。这个时期的信号发  生器大多采用模拟电子技术, 仅能产生几种简单波形。 70 年代后, 微处理器的出现使信  号发生器进入了另一个时代硬件和软件使波形发生器的功能扩大, 产生更加复杂的波形。 这时期的波形发生器多以软件为主,实质是采用微处理器对 DAC 的程序控制,就可以  得到各种简单的波形。 到了 21 世纪,随着集成电路技术的高速发展,出现了很多高频  率的 DDS 芯片,推动了函数信号发生器的发展。

信号波形发生器发展很快近几年来, 国际上波形发生器技术发展主要体现在以下几个方面:

(1)波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生 器通常允许用一系列的点、直线和固定的信号段把波形数据存入存储器。同时可以利用 一种强有力的数学方程输入方式, 复杂的波形可以由几个比较简单的公式复合成数学表 达式产生。从而促进了信号波形发生器向任意波形发生器的发展, 各种计算机语言的飞 速发展也对任意波形发生器软件技术起到了推动作用。

(2)与 VXI 资源结合。目前,波形发生器由独立的台式仪器和适用于个人计算机 的插卡以及新近开发的 VXI 模块。由于 VXI 总线的逐渐成熟和对测量仪器的高要求, 在很多领域需要使用 VXI 系统测量产生复杂的波形, VXI  的系统资源提供了明显的优 越性,但由于开发 VXI 模块的周期长,而且需要专门的 VXI 机箱的配套使用,使得波 形发生器 VXI 模块仅限于航空、军事及国防等大型领域。

(3)随着信息技术蓬勃发展, 台式仪器在走了一段下坡路之后,又重新繁荣起来。 不过现在新的台式仪器的形态, 和几年前的己有很大的不同。这些新一代台式仪器具有

多种特性,可以执行多种功能,外形尺寸与价格,都比过去的类似产品减少了一半。

1.3DDS技术简述

直接数字合成技术(Direct Digital Synthesizer,DDS)诞生于 20 世纪 70 年代,该技术融合数字信号处理理论和方法,从相位的角度进行数字化处理以获得所需要的正余弦波。DDS结构框图如下所示。其基本结构包括 N 位加法器、N 位相位寄存器、波形存储器、数模转换器、低通滤波器和工作时钟;其中 N 位加法器和 N 位相位寄存器构成 DDS 的相位累加器。

基于ESP32与AD9850的信号发生器,硬件工程

图1 DDS原理

工作原理:在参考时钟的驱动下,DDS 模块开始工作,当每一个参考时钟来临时,相位累加器增加一个频率控制字K,当相位累加器的值大于等于2^N之后,就会对2^N求余数并从头开始循环累加,即可生成对应频率的信号。当频率控制字改变时,N 位相位累加器的单次累加值也会对应改变,输出信号的频率就会对应改变,通过改变频率控制字,即可生成任意频率的信号DDS 在参考时钟的作用下,通过对频率控制字不停地进行累加,用相位累加器输出的数据作为地址在波形存储器中通过查找地址所对应的幅值表,就可以完成其从相位到幅值之间的转化。通过改变 ROM 表中存放的数据,即可生成任意波形。

2.设计的目标:

设计目标:

(1)正弦波输出频率范围:1kHz~10MHz;

(2)具有频率设置功能,频率步进:100Hz;

(3)输出信号频率稳定度:优于10-4;

(4)失真度:用示波器观察时无明显失真。

要求:设计与总结报告:方案比较,理论分析与计算,电路图及有关设计文件,测试方法与仪器,测试数据及测试结果分析

3.设计的具体过程:

3.1 设计方案的论证

方案一:

采用 MSP430 系列单片机。该单片机是 TI 公司 1996 年开始推向市场的一种 16 位 超低功耗、具有精简指令集的混合信号处理器。其内部集成了多个不同功能的模拟电路、数字电路模块和微处理器,功能强大。

方案二:

采用 51 系列的单片机。该单片机是一个高可靠性、超低价、高性能的 8 位单片机, 32 个 IO 口,且 STC 系列的 51 单片机单片机可以在线编程、调试,方便地实现程序的 下载与整机的调试。

方案三:

采用ESP32,ESP32是由我国的乐鑫公司(ESPRESSIF)继 ESP8266 芯片后推出的又一款集成 WiFi 功能的微控制器。ESP32 芯片或模组具有下列特点:

处理器:Tensilica LX6 双核处理器(一核处理高速连接;一核独立应用开发)

主频:32 位双核处理器,CPU 正常工作速度为 80 MHz,最高可达 240 MHz

SRAM:520KB,最大支持 8 MB 片外 SPI SRAM

Flash:最大支持 16 MB 片外 SPI Flash

WiFi 协议:支持 802.11 b/g/n/d/e/i/k/r 等协议,速度高达150 Mbps

频率范围:2.4~2.5 GHz

蓝牙协议:支持蓝牙 v4.2 完整标准,包含传统蓝牙 (BR/EDR) 和低功耗蓝牙 (BLE)

同时他还具备丰富的外设接口:比如 GPIO、ADC、DAC、SPI、I²C、I²S、UART 等常用接口一个不少。

 当今背景下,芯片价格居高不下,ESP32作为国产芯片极具性价比,且集成了WiFi通信功能,因此本次实践采用ESP32作为主控。

3.2 DDS芯片简介

AD9850能够输出正弦波和方波,2 个正弦波和 2 个方波输出。

输出频率范围:0-40MHz。

频率在 20-30MHz后谐波越来越大,波形会越来越不干净。

方波: 0-1MHz。

采用 70MHz 的低通滤波器,使波形的 SN 比更好。

比较器的基准输入端电压由可变电阻产生,调节该电阻可以得到不同的占空比方波

AD9850模块采用125MHz的有源晶振。

3.3 硬件框架

基于ESP32与AD9850的信号发生器,硬件工程

                                                             图2 硬件框架

3.3软件框架

基于ESP32与AD9850的信号发生器,硬件工程

 图3 软件框架

实物接线

基于ESP32与AD9850的信号发生器,硬件工程

设计结果及误差分析

1kHz:

基于ESP32与AD9850的信号发生器,硬件工程

无明显误差

10kHz:

基于ESP32与AD9850的信号发生器,硬件工程

无明显误差

100kHz:

基于ESP32与AD9850的信号发生器,硬件工程

无明显误差

1MHz:

基于ESP32与AD9850的信号发生器,硬件工程

无明显误差

10MHz:

基于ESP32与AD9850的信号发生器,硬件工程

此时误差为(10.08-10)/10*100%=0.8%

20MHz:

基于ESP32与AD9850的信号发生器,硬件工程

此时误差为(20.16-20)/20*100%=0.8%

30MHz:

基于ESP32与AD9850的信号发生器,硬件工程

此时误差为(30.19-30)/30*100%=0.63%

代码见评论区文章来源地址https://www.toymoban.com/news/detail-759936.html

到了这里,关于基于ESP32与AD9850的信号发生器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于vivado+Verilog FPGA开发 — 基于AD9767高速DAC的DDS信号发生器

    目录  一、功能定义 二、设计输入  1、主模块 2、DDS模块 3、 按键消抖模块 三、功能仿真  四、综合优化 五、布局布线 六、时序仿真 七、板级调试  代码规范:Verilog 代码规范_verilog代码编写规范-CSDN博客 开发流程:FPGA基础知识----第二章 FPGA 开发流程_fpga 一个项目的整个

    2024年03月18日
    浏览(65)
  • 基于STC89C52RC芯片 高频DDS信号发生器AD9851信号源方波正弦波系统设计

    一开始是使用按键进行频率输出数值的增加或者减少,后改进成使用EC11调节输出数值,使数值的输出更加顺滑流畅。 AD9851.c AD9851.h LCD12864.c LCD12864.h EC11.c EC11.h main.c

    2024年02月05日
    浏览(46)
  • 信号发生器:Intel FPGA DDS(NCO)+双路DAC(AD9767)输出正余弦信号

    Quartus18.1 小梅哥AC620开发板+ACM9767模块 示波器 ACM9767模块使用的是ADI公司的AD9767芯片,14位CMOS 双通道DAC,125Msps转换率。 输出形式为差分电流输出,输出电流满量程范围为可设置为 2~20mA。 AD9767的两路DA输出都为补码形式的电流输出IoutA和IoutB。当AD9767数字输入为满量程时(DAC的

    2024年03月24日
    浏览(51)
  • 基于FPGA的信号发生器(四)

         基于FPGA的信号发生器的硬件电路通常需要以下组件: FPGA芯片:FPGA芯片是这个电路的核心部件,用于实现信号生成算法和控制逻辑。选择合适规模的FPGA芯片以满足你的信号发生器的性能和功能需求。 时钟源:信号发生器需要一个稳定的时钟源,以确定信号的频率和采

    2024年04月14日
    浏览(41)
  • 基于FPGA的DDS信号发生器

        两个礼拜前就像写这个文档了,但是一直鸽到现在,主要是人摆了。还有个技术上的原因是,我想用串口屏显示波形,在串口调试助手上返回的数据是对的,但是发到串口屏上啥反应没有,人就很麻,如果这个弄不出来,前面 HMI 串口屏的工程、人机交互界面就白做了。

    2024年02月06日
    浏览(38)
  • 基于FPGA的简易 DDS 信号发生器的设计

    DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一项关键的数字化技术。与传统的频率合成器相比, DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。作为设计人员,我们

    2024年02月07日
    浏览(46)
  • 基于FPGA的信号发生器(三角波、方波、正弦波)

    目录 DDS实现原理 DDS整体设计框图​ Quartus II 仿真​ modelsim仿真 顶层代码 DDS(Direct Digital  Frequency Synthesizer) 直接数字频率合成器 ,也可叫DDFS。  DDS是从相位的概念直接合成所需波形的 一种频率合成技术 。  不仅可以产生不同频率的正弦波,而且可以控制波形的初始相位。  主

    2024年02月04日
    浏览(52)
  • 毕设分享|基于51单片机DDS信号发生器设计

    在电子通信技术日益发展的时代潮流下,直接式(DFS)和锁相式(PLL)已经不能满足生活和科研方面对于频率技术的需求。经过科研人员的不断攻坚下,直接数字频率合成器(DDS)应运而生。它现在广泛运用于移动通信、电子雷达、航天等方面。本次设计主要通过FPGA模块+单片机最小

    2024年02月03日
    浏览(46)
  • 基于vivado DDS ip核的DDS信号发生器(可调频调相)

    基于Vivado DDS ip核的DDS信号发生器: 在Vivado软件中调出DDS ip核进行设置,很多参数可以参考xilinx官方手册,比较重要的是System Clock系统时钟和Phase Widh相位宽度的设置,这是最终得到波形的频率和相位所需要的基础参数,在这里我分别设置为50Mhz和16bit。 在implementation页面设置频

    2024年02月12日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包