多路彩灯控制器LED流水灯花型verilog仿真图视频、源代码

这篇具有很好参考价值的文章主要介绍了多路彩灯控制器LED流水灯花型verilog仿真图视频、源代码。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:多路彩灯控制器LED流水灯花型verilog

软件:Quartus

语言:Verilog

代码功能:

     用quartus和modelism,设计一个多路彩灯控制器,能够使花型循环变化,具有复位清零功能,并可以选择花型变化节奏。具体要求如下: 

1)彩灯控制器由16路发光二极管构成,当控制开关打开时,能够自动在6种不同的花型之间循环变化。 

2)控制器具有复位清零功能,当复位信号有效时,不管花型状态如何,都会立即清零,恢复到初始状态。 

3)设置节拍控制开关,控制多路彩灯的花型以快慢两种节奏变化。

代码下载:多路彩灯控制器LED流水灯花型verilog_Verilog/VHDL资源下载名称:多路彩灯控制器LED流水灯花型verilog(代码在文末付费下载)软件:Quartus语言:Verilog代码功能: 用quartus和modelism,设计一个多路彩灯控制器,能够使花型循环变化,具有复位清零功能,并可以选择花型变化节奏。具体要求如下: 1)彩灯控制器由16路发光二极管构成,当控制开关打开时,能够自动在6种不同的花型之间循环变化。 2)控制器具有复位清零功能,当复位http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=188

FPGA代码资源下载网:hdlcode.com

部分代码展示

//彩灯模式控制
module LED_mode(
input clk_led,//输入时钟
input rst_n,//复位
input start_n,//开始
output [15:0] LED//输出彩灯
);

reg start_flag;
always@(negedge start_n or negedge rst_n)
	if(rst_n==0)//复位
		start_flag<=1'd0;
	else if(start_n==0)//开始
		start_flag<=1'd1;

reg [5:0] led_cnt=6'd0;//led计数器
always@(posedge clk_led or negedge rst_n)
	if(rst_n==0)//复位
		led_cnt<=5'd0;
	else if(start_flag)//开始
	begin
		if(led_cnt>=6'd39)//0~39循环
			led_cnt<=5'd0;
		else
			led_cnt<=led_cnt+5'd1;//计数
	end

reg [15:0] mode=16'b00000000_00000000;			
always@(posedge clk_led or negedge rst_n)
	if(rst_n==0)//复位
		mode<=16'b0000000000000000;
	else
		case(led_cnt)//根据计数控制LED
			5'd0: mode<=16'b10000000_10000000;//从左向右移
			5'd1: mode<=16'b01000000_01000000;
			5'd2: mode<=16'b00100000_00100000;
			5'd3: mode<=16'b00010000_00010000;
			5'd4: mode<=16'b00001000_00001000;
			5'd5: mode<=16'b00000100_00000100;
			5'd6: mode<=16'b00000010_00000010;
			5'd7: mode<=16'b00000001_00000001;
			
			5'd8: mode<=16'b00000010_00000010;//从右向左移
			5'd9: mode<=16'b00000100_00000100;
			5'd10:mode<=16'b00001000_00001000;
			5'd11:mode<=16'b00010000_00010000;
			5'd12:mode<=16'b00100000_00100000;
			5'd13:mode<=16'b01000000_01000000;
			5'd14:mode<=16'b10000000_10000000;
			
			5'd15:mode<=16'b10000001_10000001;//从两边向中间移
			5'd16:mode<=16'b01000010_01000010;
			5'd17:mode<=16'b00100100_00100100;
			5'd18:mode<=16'b00011000_00011000;
			
			5'd19:mode<=16'b00011000_00011000;//从中间向两边移
			5'd20:mode<=16'b00100100_00100100;
			5'd21:mode<=16'b01000010_01000010;
			5'd22:mode<=16'b10000001_10000001;

本代码已在AX301开发板验证,开发板资料:

AX301_UG.pdf

设计文档(文档点击可下载):

1. 工程文件

verilog16路彩灯控制器程序,fpga开发

2. 程序文件

verilog16路彩灯控制器程序,fpga开发

verilog16路彩灯控制器程序,fpga开发

verilog16路彩灯控制器程序,fpga开发

3. 程序编译

verilog16路彩灯控制器程序,fpga开发

4. RTL图

verilog16路彩灯控制器程序,fpga开发

5. 管脚分配

verilog16路彩灯控制器程序,fpga开发

verilog16路彩灯控制器程序,fpga开发

6. Testbench

verilog16路彩灯控制器程序,fpga开发

7. 仿真图

整体仿真图

verilog16路彩灯控制器程序,fpga开发

verilog16路彩灯控制器程序,fpga开发

时钟频率产生模块

verilog16路彩灯控制器程序,fpga开发

彩灯模式控制模块

verilog16路彩灯控制器程序,fpga开发

设计文档.doc

演示视频:多路彩灯控制器LED流水灯花型verilog_Verilog/VHDL资源下载文章来源地址https://www.toymoban.com/news/detail-762683.html

到了这里,关于多路彩灯控制器LED流水灯花型verilog仿真图视频、源代码的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • led流水灯

      本章将讲解流水灯的制作,在Cyclone IV开发板上依次点亮四个led灯,并以一定间隔亮灭,达到流水灯的效果。 图1. DIP发光二极管(直插型) 图2. SMD发光二极管(贴片型)   LED灯就是发光二极管,二极管功能很多,在本实验中我们暂且将其看作是一个开关。二极管在正向

    2024年02月13日
    浏览(35)
  • 51单片机学习--LED流水灯

    延时代码可通过软件生成,系统频率要和开发板的晶振频率相对应。  

    2024年02月16日
    浏览(40)
  • 【C51基础实验 LED流水灯】

    前言: 前几篇学会了LED驱动原理,并且成功点亮了一颗LED和实现了LED的闪烁,那么这篇紧接着就来解锁LED的新功能,LED流水灯。当然这里前提是基于肉眼余晖可见光达成的效果。 开发板上 LED 模块电路原理图如下图所示: 看上图中 LED 采用共阳接法 ,D1-D8 连接到单片机的

    2024年02月10日
    浏览(28)
  • Verilog HDL——LED流水灯工程&LED点阵显示

    LED流水灯 根据8位LED灯与EPM240引脚I/O对应关系 配置CPLD引脚: Hardware SetupUSB-Blaster[USB-0]JTAG Mode 下载工程: LED点阵显示 LED点阵电路: 00IC-EPM240 开发板采用的点阵型号是 10788。8×8LED 点阵共有 16 个引脚,分别控制 8 行和 8 列,行列共同决定其中一个 LED 的亮灭。图中 ROW 为行,

    2024年02月03日
    浏览(34)
  • FPGA入门————LED流水灯(超详细教程)

    本文使用软件为vivado,其实用哪个软件都是一样的,主要是掌握核心编程思想。 如有需要下载vivado软件下载可以参考: vivado及ISE各版本软件下载方法、链接及详细步骤,官方网页下载_ise软件下载_千寻xun的博客-CSDN博客 目录 一、新建工程及设计文件 二、编写LED流水灯程序

    2024年02月08日
    浏览(34)
  • ARM入门(实现LED流水灯)超简单

            实现效果将LDE2到LED5依次点亮再依次熄灭。点亮LED灯就像我们接触编程语言的第一天输出一个\\\"hello world\\\"一样,这是一个最基础的入门操作。这里我们以点亮LED2的过程进行详细讲解,因其他LED灯的点亮过程都是一样的,所以就不做一一介绍了。 1、首先第一步我们需

    2023年04月10日
    浏览(31)
  • Quartus II使用——3 LED流水灯

    1.学习要求 目标:实现8个LED灯(LED 0~LED 7)间隔100ms依次点亮,然后全部熄灭,再依次点亮。 2.仿真分析 clk是50Mhz时钟,那么一个周期为(1X10^9)/(50X10^6)=20ns,1秒对应50000000个时钟周期,100ms=5000000个时钟周期。 复位时,LED灯全部熄灭    :led=8\\\'hff 点亮第1个LED灯               

    2023年04月22日
    浏览(28)
  • FPGA学习分享--01 led流水灯的实现

    需求 : 博宸电子的ZYNQ7020DEV开发板 Vivado 2018.3 一定的verilog语言基础 博主目前学习的是 博宸电子的ZYNQ7020DEV开发板 ,下面是关于本次分享led流水灯的原理图 图1 涉及本次led流水灯的电路 首先,从图1来看,每个led端口都和一个阻值为4.7k的电阻和一个发光二极管相连,并且发光

    2024年02月04日
    浏览(26)
  • 3、stm32:GPIO输出——LED闪烁、LED流水灯、蜂鸣器

    目录 一、GPIO简介 二、GPIO基本结构 三、GPIO模式 四、GPIO常用寄存器 (1)端口配置低高寄存器(GPIOx_CRL/GPIOx_CRH)(x=A…E) (2)端口输入数据寄存器(GPIOx_IDR)(x=A…E) (3)端口输出数据寄存器(GPIOx_ODR)(x=A…E) (4)端口位设置/清除寄存器(GPIOx_BERR)(x=A…E) (5)端口位清除寄存器(GP

    2024年02月09日
    浏览(34)
  • S5PV210 | 裸机汇编LED流水灯实验

    目录 S5PV210 | 裸机汇编LED流水灯实验 开发板: 1.原理图 2.Datasheet相关 3.代码 3-1. 代码实现(流水灯,仅作演示) 3-2.工具 mkv210_image 代码 4.运行 SD卡启动 5.参考 上图中,当按下 POWER 键后, VDD_5V 和 VDD_IO 会产生 5V 和 3.3V 的电压,其中 D26 无须 GPIO 控制,为常亮状态,即我们所说的

    2024年02月03日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包