【FPGA】zynq 单端口RAM 双端口RAM 读写冲突 写写冲突

这篇具有很好参考价值的文章主要介绍了【FPGA】zynq 单端口RAM 双端口RAM 读写冲突 写写冲突。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

RAM

RAM 的英文全称是 Random Access Memory,即随机存取存储器,简称随机存储器,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中读出数据,其读写速度是由时钟频率决定的。
具体的分类讲解可以看SDRAM、DRAM及DDR FLASH ROM概念详解这篇文章

我们使用的RAM是静态RAM

RAM读写分类

在RAM中,单端口RAM(Single-port RAM)和双端口RAM(Dual-port RAM)是两种常见的类型,双端口RAM又分为真双端口(True dual-port RAM)伪双端口RAM(Simple dual-port RAM)

  • 单端口 RAM 只有一个端口进行读写,即读/写只能通过这一个端口来进行。
  • 伪双端口 RAM ,其也有两个端口可以用于读写,但是其中一个端口只能读不能写,另一个端口只能写不
    能读;
  • 真双端口 RAM ,其有两个端口可以用于读写,且两个端口都可以进行读或写;

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突

RAM原理及实现

在内存空间中开辟出一段固定大小的内存用于存储数据,每一个数据所占的bit位称之为位宽,这段内存空间中数据的总数称之为深度。例如reg [7:0] mem [255:0],这段内存空间中每一个数据的位宽为8bit深度为256

在这段内存空间中,每个数据分配给一个地址,如上例深度为256,可以用8bit的地址来表示所有的数据,0000_0000则表示第0个数据,1111_1111则表示第255个数据。

外部信号通过固定的时钟节拍,通过使能信号及地址信号来读取RAM中特定位置的数据或者向RAM中特定位置写入数据。

RAM三种读写模式

在FPGA中,RAM的读写操作包括如下三种操作模式:“写优先模式”、“读优先模式”和“不修改模式” 。A端口和B端口可以独立配置为三种模式中的任意一种。

  • no-change (不变模式):进行写操作过程中 输出数据线上的的数据不变
  • read(读优先模式):读优先,对某个地址进行写操作的时候,写入的数据先放入存储器内,输出数据线上数据不变。(上个周期写入的,这个周期先读出之前的值)
  • **write(写优先模式):写优先,写入的数据立马放在输出的数据线上
    **
    我们可以知道,在写优先的情况下,可以最快得到更新值。因此,双端口RAM的队列信息更新要保证至少要间隔一个钟(且为写优先的情况)。

不变模式

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
在不变模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA(读/写使能信号)为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先将数据(DAIN)“1111”写入地址 bb ,只进行写操作,读数据保持不变,所以读出的数据也是“aa”;第三个时钟上升沿同理,也就是说 DOUTA 保持前一拍数据,直到 WEA 为低,第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

写优先

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突

在写优先模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA(读/写使能信号)为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先将数据(DAIN)“1111”写入地址 bb 后再读出,所以读出的数据也是“1111”;第三个时钟上升沿同理,将 DINA 数据写入存储器后再将更新后的数据送到 DOUTA 上进行输出;第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

读优先

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
在读优先模式下,当 ENA(使能信号)为高后,第一个时钟上升沿 WEA 为低电平,表示读数据,此时的地址为 aa,所以读出的就是 aa 内的数据;第二个时钟上升沿 WEA 为高电平,表示写数据,此时的地址为 bb,即先读出地址 bb 中的旧数据,然后再将数据(DAIN)“1111”写入地址 bb;第三个时钟上升沿同理,先将当前存储器中的旧数据送到 DOUTA 上进行输出,然后再将 DINA 数据写入当前存储地址;第四个时钟上升沿 WEA 为低电平,表示读数据,读取的就是地址 dd 内的数据。

单端口 RAM

单端口RAM(Single-port RAM): 输入只有一组数据线和一组地址线,读写共用地址线,输出只有一个端口。如果CPU需要读取RAM中的数据并将其写入到RAM的另一个位置,必须先执行读取操作,然后执行写入操作。如下图所示:

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突

  • 端口描述

DINA:RAM 写数据端口;
ADDRA:RAM 读写地址端口,对于单口 RAM 来说,读地址和写地址共用同一组地址;,由外部输入,地址位宽决定了RAM的最大深度,比如地址位宽为 4,则 RAM 最大深度是16(0-15)
WEA:写使能信号,高电平表示向RAM中写入数据,低电平表示从RAM中读出数据
ENA:端口使能信号,高电平有效;低电平表示禁用端口
RSTA:复位信号,高电平有效
REGCEA : 输出寄存器使能信号,REGCEA为低电平时,读端口保持最后一次输出的数据
CLKA: 时钟信号
DOUTA: 输出数据信号,从 RAM 中读出的数据,多bit位宽

伪双端口 RAM

伪双端口RAM(Simple dual-port RAM): 输入有一组数据线,两组地址线,输出只有一个端口。伪双端口RAM可以提供并行读写操作,避免了传统单端口RAM的等待时间,因此有更快的访问速度和响应时间。伪双端口RAM通常广泛应用于高性能数字信号处理器、图像处理器、视频采集卡等领域,以提高存储器的访问速度和效率,满足高速处理的需求。如下图所示:

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
与单端口 RAM 不同的是,伪双端口 RAM 输入有两路时钟信号 CLKA/CLKB(写时钟和读时钟);独立的两组地址信号ADDRA/ADDRB(写地址和读地址);写端口A仅提供 DINA 写数据总线,作为数据的写入口;读端口B 仅提供DOUTB数据读的功能。允许同时端口A写入,端口B读出,且速率可以不同

多出来的端口的用于ECC 简单双端口RAM独特的ECC功能
可以对单比特进行纠正 对双比特进行检错 我们很少用到,有个了解即可

INJECTSBITERR:Inject Single-Bit Error 的简写,即注入单 bit 错误,仅适用于 Xilinx Zynq-7000 和 7 系列芯片的 ECC 配置。
INJECTDBITERR:Inject Double-Bit Error 的简写,即注入双 bit 错误,同样仅适用于 Xilinx Zynq-7000
和 7 系列芯片的 ECC 配置。
SBITERR:Single-Bit Error 的简写,即单 bit 错误,标记内存中存在的单 bit 错误,该错误已在输出总线
上自动更正。
DBITERR:Double-Bit Error 的简写,即双 bit 错误,标记内存中存在双 bit 错误,需要注意的是内置的
ECC 解码模块不能自动纠正双 bit 错误。
RDADDRECC:Read Address for ECC Error output 的简写,即读地址 ECC 错误输出,同样仅适用于 Xilinx
Zynq-7000 和 7 系列芯片的 ECC 配置。

  • 在VIVADO创建端口的时候可以看到 ECC选项为简单双端口RAM独有的
    ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
    需要注意的是,使用了单比特纠错功能,输出的数据只会在DOUTB输出端口上修改,存在RAM里的数据不会发生变化

真双端口 RAM

真双端口RAM(True dual-port RAM): 输入有两组地址线和两组数据线,输出有两个端口。所以双口RAM两个端口都分别带有读写端口,可以在没有干扰的情况下进行读写,彼此互不干扰。这种RAM通常用于高端计算机系统中,因为它可以提高系统性能。例如,在多处理器系统中,多个处理器可以同时访问同一块双端口RAM,从而提高系统的并行处理能力。如下图所示:
ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突

真双端口 RAM 提供了两个独立的读写端口(A 和 B),既可以同时读,也可以同时写,也可以一个读一个写。可以发现,真双端口 RAM 只是将单端口 RAM 的所有信号做了一个复制处理

读写冲突和写写冲突

读写冲突(Write-Write Collisions): 在同一时间,两个端口对同一个地址,一个进行读取,一个进行写入操作

写写冲突(Write-Write Collisions): 在同一时间,两个端口对同一个地址进行写入操作

读写冲突

读写冲突:即同时刻读写同一地址所出现的冲突,例如理论上我们已经向某个地址写入了新的数据,我们也希望可以同时读到这个地址内新写入的数据,但实际上,这个新数据还没有写入 RAM 中,所以我们读出来的可能是 RAM 默认值,或者是 RAM 该地址中上一次的值,这便是读写冲突。读写冲突示意图如下:

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
在上图中 WEA[3:0] 为写使能, 字节使能,每一个bit可以选择写入数据的那个字节被写入,1表示写入该字节 ,0表示不写入该字节, DINA[31:0]为写数据总线,DOUTBarf为读优先情况下读数据总线的值,DOUTBawf为写优先情况下读数据总线的值,RAM Contents为RAM中存储的数据

读优先情况下,同时对一个地址进行读写,会先把RAM里原本的数值发送到DOUTBarf读数据总线, DOUTBarf输出的值一直为RAM原本的数据

那么我们来分析写优先的情况下:

  • 在第一个时钟周期
    WEA[3:0] 为b0000 表示没有写入数据 此时 DINA[31:0]无效

  • 在第二个时钟周期
    WEA[3:0] 为b0101 表示写入第1个字节和第3个字节, 此时 DINA[31:0]为AAAA AAAA 会写入00AA 00AA的数据 因为是写优先 ,所以会把数据先发送到DOUTBarf读数据总线上,但是读数据总线此时也在读取数据,此时DOUTBarf上的值,就不知道是原本RAM里的数据 还是新写入的这个数据 ,就成了一个未知态

可知当发生读写冲突时,读优先的模式下读出的是读地址中存储的上一个数据;写优先模式时读出的是未知的数据“XX”

写写冲突

写写冲突:表示端口A和端口B写使能同时有效且写地址DINA和DINB相同,此时需要关断一个写,把两个写端口都需
要更新的值处理到一个写端口上。切记任何双端口 RAM 都不支持写写冲突。写写冲突示意图如下所示:
ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
在上图中 WEA[3:0] 为写使能 ,字节使能,每一个bit可以选择写入数据的那个字节被写入,1表示写入该字节 ,0表示不写入该字节,WEB[3:0] 为端口B写使能, DINA[31:0]为端口A写数据总线, DINB[31:0]为端口B写数据总线,RAM Contents 为RAM中存储的数据

那么我们来分析下:

  • 在第一个时钟周期

    WEA[3:0] 为b1100 表示写入高两个字节 此时 DINA[31:0]的高两个字节7654被写入,低字节FFFF无效
    WEB[3:0] 为b0011 表示写入低两个字节 此时 DINB[31:0]的低两个字节3210被写入,高字节FFFF无效

    RAM中实际写入的值为 7654 3210

  • 在第二个时钟周期

  • WEA[3:0] 为b0101 表示写入第1个字节和第3个字节, 此时 DINA[31:0]的xxAA xxAA被写入
    WEB[3:0] 为b1010 表示写入第2个字节和第4个字节 此时 DINA[31:0]的BBxx BBxx被写入

    RAM中实际写入的值为 BBAA BBAA

  • 在第三个时钟周期

  • WEA[3:0] 为b1110 表示写入第4个字节,第3个字节和第2个字节, 此时 DINA[31:0]的7777 77xx被写入
    WEB[3:0] 为b0011 表示写入第2个字节和第1个字节 此时 DINA[31:0]的xxBB Bxx被写入

第二个字节的数据产生了冲突,成了一个未知态
RAM中实际写入的值为 7777 xx00

  • 在第四个时钟周期

  • WEA[3:0] 为b1111 表示写入第4个字节,第3个字节,第2个字节和第1个字节, 此时 DINA[31:0]的AAAA AAAA被写入
    WEB[3:0] 为b0110 表示写入第3个字节和第2个字节 此时 DINA[31:0]的xxxx 0000被写入

第二个字节和第三个字节的数据产生了冲突,成了一个未知态
RAM中实际写入的值为 AAXX XXAA

总结:

伪双端口模式下我们需要避免读写冲突;在真双端口模式下我们需要避免读写冲突和写写冲突

ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突
ram读写冲突,【FPGA】,fpga开发,RAM,读写冲突,双端口RAM,写写冲突文章来源地址https://www.toymoban.com/news/detail-763391.html

到了这里,关于【FPGA】zynq 单端口RAM 双端口RAM 读写冲突 写写冲突的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA/数字IC】Multiport RAM,多读多写寄存器-——基于FPGA BRAM的多端口地址查找表与FPGA BRAM的资源分析

    目录 背景 手写Multiport Ram Multiport RAM 代码方案 资源评估 Multiport RAM 资源利用的优化 资源评估 防止读写冲突的组合逻辑设计(写优先) 仿真和时序 单口写数据 单端口读数据 多口读相同数据 多口同时读不同数据 背景         在多端口交换机的设计中,交换机的每个端口

    2024年04月26日
    浏览(31)
  • FPGA原理与结构(8)——块RAM(Block RAM,BRAM)

    系列文章目录:FPGA原理与结构(0)——目录与传送门         大家对于RAM应该并不陌生,RAM就是一张可读可写的存储表,它经常被拿来与ROM进行对比,相比之下,ROM只可读。而在FPGA中,RAM一般可以分成两种,一种是使用LUT资源组成的分布式RAM(DRAM),另一种就是块RAM(B

    2024年02月08日
    浏览(26)
  • FPGA双口RAM使用

    模块名称: dpram() IP Core 双口RAM,有俩组数据线和地址线,读写可以同时进行,FIFO读写可以同时进行,可以看作是双口。分为Simple two-dual RAM和true two-dual RAM。简单双口RAM,一个端口只读,另一个端口只写,且写入和读取的时钟可以不同,位宽比可以不是1:1;而双口RAM两个端口

    2024年02月16日
    浏览(28)
  • FPGA之分布RAM(1)

    SLICEM 资源可以实现分布式 RAM。可以实现的 RAM 类型: 单口 RAM 双端口 简单的双端口 四端口 下表给出了通过1SLICEM中的4个LUT可以实现的RAM类型         我们介绍过把 6 输入 LUT 当作 2 个 5输入 LUT 使用,在这里,就可以同一个 LUT 实现数据位宽的增加。对于32X2的4口RAM,如下图

    2024年01月20日
    浏览(36)
  • FPGA原理与结构(6)——分布式RAM(Distributed RAM,DRAM)

    系列文章目录:FPGA原理与结构(0)——目录与传送门 目录 一、RAM概述 1、RAM基本概念 2、FPGA中RAM的分类 二、DRAM详解 1、FPGA资源         2、DRAM的配置形式 2.1 Single-Port(单端口) 2.2 Dual-Port(双端口) 2.3 Quad-Port(四端口) 2.4 Simple Dual-Port(简单双端口) 2.5 更大深度  

    2024年02月08日
    浏览(25)
  • FPGA中RAM的结构理解

    看代码的过程中对RAM的结构不是很理解,搞脑子一片浆糊,反复推算,好不容易理清了思路,记录下来,防止忘记。开辟的RAM总容量为128bytes,数据的位宽为32位(即一个单元有32bit数据) RAM结构示意图:

    2024年02月16日
    浏览(28)
  • FPGA Develop Note ——— RAM

    RAM 的英文全称是 Random Access Memory ,即随机存取存储器,简称随机存储器。它可以随时把数据 写入 任一指定地址的存储单元,也可以随时从任一指定地址的存储单元中 读出 数据,其 读写速度是由时钟频率决定的 。 Types RAM类型 特性说明 数据读写口数量 地址口数量 Simple P

    2024年03月15日
    浏览(39)
  • (34)FPGA IP设计(RAM)

    1.1 本节目录 1.2 IP核介绍 1.3 FPGA介绍 1.4 Verilog介绍 1.5 Vivado_RAM_IP设计 1.6 结束语 IP核有行为(Behavior)级、结构(Structure)级和物理(Physical)级三个层次的分类,对应着三个种类型的IP核,它们是由硬件描述语言设计的软核(Soft IP Core)、完成结构描述的固核(Firm IP Core) 和基于物理描述并

    2024年01月19日
    浏览(30)
  • 从底层结构开始学习FPGA(6)----分布式RAM(DRAM,Distributed RAM)

    文章目录 系列目录与传送门 一、什么是RAM?什么是ROM? 二、块RAM和分布式RAM 2.1、BRAM

    2024年02月02日
    浏览(27)
  • Quartus 平台 FPGA 片内 RAM 使用

    本文将以 Quartus 自带的 RAM:2-PORT 为例,介绍 EP4CE6E22C8 On Chip Memory 的使用 位于 On Chip Memory 下有两个 RAM IP 核 分别是单端口 RAM 和双端口 RAM: 单端口RAM只有一组地址线,这组地址线控制着写数据端口和读数据端口, 而双端口RAM具有两组地址线,这两组地址线分别控制着写数据

    2024年02月03日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包