学号滚动显示verilog代码vivado软件ego1开发板

这篇具有很好参考价值的文章主要介绍了学号滚动显示verilog代码vivado软件ego1开发板。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:学号滚动显示verilog代码vivado软件ego1开发板

软件:VIVADO

语言:Verilog

代码功能:

学号滚动显示 Course Outline实验要求 

》用EGO1实验板卡上的8个7段数码管滚动显示你的学号; 

》例如:李佳一(LJY)学号2019040509; 

》滚动显示16个字符为: HEULJY2019040509HEULJY201904.

》实验提示 

》学号中的数码被存储在一个64位的寄存器msg_ array[0:63]中;

》在时钟上升沿,把msg_aray[0:63]中的内容向左循环移动4位,即 msg_ array[0:3]的内容移到msg_ array[60:63]中(注意: msg array数组中的0位为高位),之后在每个时钟上升沿时进行循环移位; 

》用频率3Hz的时钟在7段数码管上移动字符; 

》SW7为暂停信号,SW7为1时暂停移动;S0为复位信号,按下后重新开始移动 

》姓名拼音字母的7段编码见下页;若姓名为陈晨,则扩展为陈晨晨。 

FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com

本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管脚适配:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

代码下载:学号滚动显示verilog代码vivado软件ego1开发板名称:学号滚动显示verilog代码vivado软件ego1开发板(代码在文末下载)软件:VIVADO语言:Verilog代码功能:学号滚动显示 Course Outline实验要求 》用EGO1实验板卡上的8个7段数码管滚动显示你的学号; 》例如:李佳一(LJY)学号2019040509; 》滚动显示16个字符为: HEULJY2019040509HEULJY201904.》实验提示 》学号中的http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=318

工程文件:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

程序文件:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

程序编译:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

RTL图:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

管脚分配:

用vivado8个数码管全部具有显 和写 双模式 利 ego1上的8个数码管滚动显,fpga开发,ego1,verilog,学号滚动,vivado

部分代码展示:文章来源地址https://www.toymoban.com/news/detail-768805.html

`timescale 1ns / 1ps
module TOP(
    input sys_clk,//时钟
    input sys_rst,//复位S0
    input SW_en,//使能SW7
    //两个数码管段选位选
    output [7:0] segment_strobe_1,
    output [3:0] bit_strobe_1,  
    output [7:0] segment_strobe_2,
    output [3:0] bit_strobe_2
    );
wire clk_3Hz;
wire [31:0] data_in;//输入8位数据
//分频模块,分频到3Hz
clk_div i_clk_div(
. clk_in(sys_clk),//时钟
. reset(sys_rst),//复位
. clk_3Hz(clk_3Hz)//分频到3Hz
);
//数字移位显示模块
Numeric_Display i_Numeric_Display(
. clk(sys_clk),//标准时钟,100MHz
. reset(sys_rst),//复位信号
. SW_en(SW_en),//使能
. shift_key_p(clk_3Hz),//移位信号
. segment_strobe_1(segment_strobe_1),
. bit_strobe_1(bit_strobe_1),  
. segment_strobe_2(segment_strobe_2),
. bit_strobe_2(bit_strobe_2)//高电平点亮,高电平选通
);
endmodule

到了这里,关于学号滚动显示verilog代码vivado软件ego1开发板的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • vivado序列检测器verilog代码ego1开发板验证

    名称:vivado序列检测器verilog代码ego1开发板验证 软件:VIVADO 语言:Verilog 代码功能: 设计一个111序列检测器。 要求:当检测到3个或3个以上的1时,输出为1,其他输入情况输出为0. 画出状态转移图,完成 Verilog描述。 本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管

    2024年02月03日
    浏览(43)
  • vivado数字秒表verilog代码ego1开发板电子秒表跑表

    名称:vivado数字秒表verilog代码ego1开发板电子秒表跑表 软件:VIVADO 语言:Verilog 代码功能: 数字秒表设计 1、秒表的设计精确到10毫秒(0.01秒) 2、可通过按键控制秒表启动、暂停、复位 3、数码管显示分、秒、毫秒 本代码已在ego1开发板验证,开发板如下,其他开发板可以修

    2024年02月03日
    浏览(36)
  • 电子拔河比赛游戏机的设计Verilog代码vivado ego1开发板

    名称:电子拔河比赛游戏机的设计Verilog代码vivado  ego1开发板(文末获取) 软件:vivado 语言:Verilog 代码功能: 电子拔河比赛游戏机的设计 时中间的LED亮。比赛时双方通过按扭使中间亮的LED向己方移动,当亮至某    电子拔河游戏机供2~3人玩耍。由一排LED表示拔河的“电子绳”

    2024年01月21日
    浏览(43)
  • VIVADO自动售票机售货机verilog代码ego1开发板验证

    名称:VIVADO自动售票机售货机verilog代码ego1开发板验证 软件:VIVADO 语言:Verilog 代码功能: 自动售票机  1、自动售票机只出售1角、2角、5角和1元4种车票 2、只接收1角、5角和1元硬币,每次只能出售1张车  3、自动售票机具有累加销售额的功能 实验要求  出售车票用SW0~3分别

    2024年02月05日
    浏览(35)
  • vivado交通灯设计verilog代码ego1板红绿灯时间可修改

    名称:vivado交通灯设计verilog代码ego1板红绿灯时间可修改 软件:VIVADO 语言:Verilog 代码功能: 十字路口红绿灯设计; 1、每次通行时间可在0-99秒内设定,可以通过按键修改通行时间。 2、路口四边均设红黄绿三色信号灯和用于计时的两位数码管显示时间(十进制数)。 3、黄灯亮

    2024年02月04日
    浏览(31)
  • 数码管移位循环显示数字verilog代码ego1开发板

    名称:数码管移位循环显示数字verilog代码ego1开发板 软件:VIVADO 语言:Verilog 代码功能: 采用EGO1中的两组数码管,让该8个数码管循环显示:01234567,12345678,23456789.... 电路的输入信号en进行启动或暂停;用按键控制循环,按一下显示下一组数。 FPGA代码Verilog/VHDL代码资源下载

    2024年02月03日
    浏览(30)
  • 在7段数码管上显示自己的最后三位学号数字Verilog代码Vivado仿真

    名称:在7段数码管上显示自己的最后三位学号数字Verilog代码Vivado仿真(文末获取) 软件:Vivado 语言:Verilog 代码功能: 在7段数码管上显示自己的最后三位学号数字 1.实验任务 要求将自己的最后三位学号数字在数码管上显示2、实验现象与结果 应能看见自己学号稳定的在数

    2024年02月21日
    浏览(32)
  • VIVADO三层电梯控制器VHDL代码ego1开发板

    名称:VIVADO三层电梯控制器VHDL代码ego1开发板 软件:VIVADO 语言:VHDL 代码功能: 3层电梯控制 (1)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下

    2024年02月04日
    浏览(31)
  • 基于ego1开发板的万年历自动数字日历设计verilog代码

    名称:基于ego1开发板的万年历自动数字日历设计verilog代码 软件:VIVADO 语言:Verilog 代码功能: 自动数字日历设计  设计自动数字日历,用七段数字显示器显示年(后2位)、月、日和星期数,在计日脉冲的作用下,自动完成1-12月的月、日及星期的计数和显示。 FPGA代码Verilog/VHDL代码

    2024年02月03日
    浏览(31)
  • verilog实现滚动显示学号(含按键消抖)

    经过了前前后后将近十个小时的时间,总算能够正确上板并写完了最终的实验报告。花费了我大量时间的实验我觉得有必要记录并分享出来。声明: 本人写verilog的能力不强,看我花了这么多时间就知道了,如果代码有问题感谢指正,代码是在这位学长的基础上修改的 零时的

    2024年02月04日
    浏览(22)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包