QuartusⅡ+Modelsim:error loading design错误

这篇具有很好参考价值的文章主要介绍了QuartusⅡ+Modelsim:error loading design错误。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

最近在学习verilog编程

今天在做时延与门逻辑仿真时,Modelsim怎么也出不来仿真波形,一直显示错误

Error loading design

# Error: Error loading design

# Pausing macro execution

# MACRO ./time_delay_module_run_msim_rtl_verilog.do PAUSED at line 12

我上上下下检查了好多遍,也在网上查找了许多信息。

pass掉了许多问题以及解决方案。包括:

  1. 下载路径不能有任何的空格、中文、以及特殊字符。

  1. 没有导入错误的testbench文件。

  1. 模块名和文件名一致。

  1. 端口配置,参数数据没有问题。

  1. 还有的解决方案是:

error loading design,开发语言,fpga开发,人工智能,5G,程序人生,Powered by 金山文档

点击向上图标,进行上一步的重新编译。

以上方面都仔仔细细的检查了,但是仍然没什么用。

真的很想说一句,Modelsim! 你是懂娇气的。


but!家人们,还是有提示的,只不过它非常狡猾的藏在上面。你往上巴拉巴拉,会看到蓝色的字体。

error loading design,开发语言,fpga开发,人工智能,5G,程序人生,Powered by 金山文档

Error:后面有错误的解释,我这个大致就是说time_delay_module这个程序文件中没有时间单位,但是其他文件有。

为了更清晰的表现我的问题,下面我把代码写出来。

主文件

module time_delay_module(
    input   ai, bi,
    output  so_lose, so_get, so_normal);
 
    assign #20      so_lose      = ai & bi ;
    assign  #5      so_get       = ai & bi ;
    assign          so_normal    = ai & bi ;
endmodule

对应的testbench文件

`timescale 1ns/1ns

module test ;
    reg  ai, bi ;
    wire so_lose, so_get, so_normal ;
 
    initial begin
        ai        = 0 ;
        #25 ;      ai        = 1 ;
        #35 ;      ai        = 0 ;        //60ns
        #40 ;      ai        = 1 ;        //100ns
        #10 ;      ai        = 0 ;        //110ns
    end
 
    initial begin
        bi        = 1 ;
        #70 ;      bi        = 0 ;
        #20 ;      bi        = 1 ;
    end
 
    time_delay_module  u_wire_delay(
        .ai              (ai),
        .bi              (bi),
        .so_lose         (so_lose),
        .so_get          (so_get),
        .so_normal       (so_normal));
 
    initial begin
        forever begin
            #100;
            //$display("---gyc---%d", $time);
            if ($time >= 1000) begin
                $finish ;
            end
        end
    end
 
endmodule

大家看主文件里面的连续赋值语句,涉及到了延时,但是程序中并没有定义时间单位及精度,这就是错误的原因!

只要在第一行加上:

`timescale 1ns/1ns

就可以啦!

这小小的错误竟然折腾了我一个下午,哭。

我是在学习网站学习的代码,也是看着网站上的代码打到quartus上的。也就是说其实这里本来给出的代码是有错误的。咳咳......某菜鸟某教程我就不点名批评了哈。😜

大家以后遇到这种问题,一定要往上巴拉巴拉,看看错误解释,会省好多时间的!

本人新学菜鸟,如果有错误地方,感谢大家多多指正,共同进步哈。文章来源地址https://www.toymoban.com/news/detail-770919.html

到了这里,关于QuartusⅡ+Modelsim:error loading design错误的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【MODELSIM】Quartus ii 中使用modelsim仿真

    1.仿真环境设置 Quartus ii 中调用modelsim,首次使用modelsim时设置 在tools–options–General–EDA Tool Option中设置好Modelsim的安装文件的路径 2.设置EDA仿真工具 Assignments - settings - EDA Tool settings Simulation选择modelsim 语言选择VHDL 3.生成顶层模块vhd文件 Bdf文件无法直接调用modelsim,在顶层b

    2024年02月04日
    浏览(32)
  • Quartus、modelsim安装配置

      没有金刚钻,拦不了瓷器活。学习FPGA的第一步就是安装EDA。本文中将带领大家从零开始搭建FPGA开发环境。   电子设计自动化(Electronics Design Automation,EDA)是计算机为工具,设计者在EDA软件平台上,融合应用电子技术、计算机技术、信息处理及智能化技术的最新成果

    2024年02月03日
    浏览(37)
  • 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

        Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确。紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里作简要的介绍。 方法一     打开 Pango Design Suite,点击 Tools - Compile Simulation Libraries,在弹出来的窗口中,设置需要编译

    2023年04月10日
    浏览(45)
  • Quartus联合 ModelSim仿真及测试

    插件系列文章目录: (1)modelsim安装使用及Vivado关联 (2)VSCode关联VIVADO编辑Verilog (3)Modelsim观察波形–基础操作述 (4)Quartus联合 ModelSim仿真及测试 Quartus联合 ModelSim仿真及测试 提示:以下是本篇文章正文内容,下面案例可供参考 [Quartus下载链接:https://pan.baidu.com/s/1t24

    2024年02月06日
    浏览(34)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL。继续构建完工程; 2.在工程中写好程序,保存并编译,确定没有错误后进行下一步; 3.选择Tools菜单中的Options,在General中选择EDA Tool Options,在右边的列表中有Model

    2024年02月11日
    浏览(30)
  • Quartus中打开ModelSim遇到问题汇总

    一: 问题:这类问题得原因是可能是网上某些教程要求Modelsim要勾选以管理员身份运行导致的。 解决方法:取消勾选以管理员身份运行。 二: 问题:Assignments - Settings - EDA tool Settings - tool name仿真软件没有选对。 解决方法:选择相应的正确仿真软件,注意区分ModelSim和ModelS

    2024年02月11日
    浏览(26)
  • Quartus使用步骤及联合Modelsim仿真教程

    #使用记录# 对于Quartus的安装步骤这里不再进行讲解,modelsim的安装步骤后续文章会进行讲解,这里也就不过多说明。言归正传,现在来开始我们的使用教程: 1、首先点击打开Quartus软件,博主这里使用的是22版本的,其他版本的步骤也基本一样。 2、我们可以看到如下界面,点

    2024年02月05日
    浏览(36)
  • vivado 错误提示[DRC REQP-21] 以及 vivado design initialization error

    个人在使用hls生成IP核时,并没有出现报错的问题,当我将自己的IP加入到vivado block design时,综合也并没有任何的问题出现,但当跑到implementation时,出现以下的一些错误, [DRC REQP-21] enum_AREG_2_connects_CEA1_GND_connects_CEA2_GND_connects_CEA1_connects_CEA2: (马赛克…)mfYi_DSP48_2_U/p: When t

    2024年02月06日
    浏览(40)
  • Quartus自动生成Testbench并调用Modelsim

    在这里写个笔记,标题的全过程,万一忘了再回来看。由于公开,希望见到这篇博客的人好运连连,不脱发,不肾虚。 在程序编译完成(重点)后,先设置软件存在路径,该路径下是能找到modelsim.exe这种。 ToolsOptionsEDA tools option设置相应路径   Assignmentssetting 开始摆烂,路径

    2024年02月08日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包