Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitt”

这篇具有很好参考价值的文章主要介绍了Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitt”。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitted in Verilog.”

今天在进行使用modelsim进行仿真,在编译过程中遇见了报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitted in Verilog.”
extra semicolon found. this is permitted in systemverilog, but not permitted,fpga开发

在经过查询资料之后发现了错误出现的原因:endtask后边不能添加;
更改过程如下图所示:
extra semicolon found. this is permitted in systemverilog, but not permitted,fpga开发
更改后结果如下图所示:
extra semicolon found. this is permitted in systemverilog, but not permitted,fpga开发
将分号;去掉之后就编译成功了。文章来源地址https://www.toymoban.com/news/detail-771923.html

到了这里,关于Modelsim编译报错“(vlog-2401) Extra semicolon found. This is permitted in SystemVerilog, but not permitt”的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • cMake编译yolov5报错:【CUDA】No CUDA toolset found.

    问题:cMake编译yolov5报错:No CUDA toolset found. 解决:在stackoverflow找到解决方案。 将该路径下的四个文件 C:Program FilesNVIDIA GPU Computing ToolkitCUDAv11.1extrasvisual_studio_integrationMSBuildExtensions 拷贝到以下路径中。 C:Program Files (x86)Microsoft Visual Studio2019EnterpriseMSBuildMicrosoftVCv160

    2024年02月15日
    浏览(37)
  • ❤ npm 报错This relative module was not found: * ./src/main.js in multi (webpack)-dev-server/client?

    ❤ npm 报错 ❤ 错误原因 手动把文件路径写错了外 还一个可能是所使用的编辑器造成的,当更改了文件目录或者文件名后,编辑器会聪明的把一些认为有关联的路径也一并修改了 ❤ 解决方法 最好的解决办法就是删除最外层的node_modules文件和package-lock.json文件,删除后重新n

    2024年02月14日
    浏览(43)
  • Android问题笔记-Android Studio编译报错:2 files found with path.....

    点击跳转=Unity3D特效百例 点击跳转=案例项目实战源码 点击跳转=游戏脚本-辅助自动化 点击跳转=Android控件全解手册 点击跳转=Scratch编程案例 点击跳转=软考全系列 专注于 Android/Unity 和各种游戏开发技巧,以及 各种资源分享 (网站、工具、素材、源码、游戏等) 有什么需要欢

    2024年02月10日
    浏览(28)
  • 报错qt.qpa.plugin: Could not load the Qt platform plugin “xcb“ in ““ even though it was found.This ap

    报错 qt.qpa.plugin: Could not load the Qt platform plugin \\\"xcb\\\" in \\\"\\\" even though it was found. This application failed to start because no Qt platform plugin could be initialized. Reinstalling the application may fix this problem. Available platform plugins are: minimalegl, vkkhrdisplay, linuxfb, eglfs, vnc, offscreen, xcb, wayland-egl, minimal, wayland.  

    2024年02月05日
    浏览(69)
  • Android问题笔记三十四:Android Studio编译报错:2 files found with path.....

    点击跳转=Unity3D特效百例 点击跳转=案例项目实战源码 点击跳转=游戏脚本-辅助自动化 点击跳转=Android控件全解手册 点击跳转=Scratch编程案例 点击跳转=软考全系列 专注于 Android/Unity 和各种游戏开发技巧,以及 各种资源分享 (网站、工具、素材、源码、游戏等) 有什么需要欢

    2024年02月07日
    浏览(27)
  • 【异常】Jenkins执行前端项目Npm构建时,出现报错This dependency was not found: vue-class-component in ./node_modules/vu

    同事的代码变更,导致了在Jenkins无法执行构建 错误的原因是项目中引入了vue-class-component,但是在项目的依赖列表中,却没有找到它,因此会报如上的错误。 vue-class-component是一个用于类式Vue组件的TypeScript装饰器。 它允许您将Vue组件定义为类,这可以使您的代码更有组织性和

    2024年02月03日
    浏览(52)
  • 使用modelsim编译altera库

    本文简单介绍如何使用modelsim单独编译altera 器件库,如果采用modelsim编译其他厂家的器件库该方法也适用。 1、在modelsim安装路径新建altera_lib文件夹用于存放编译altera库生成的文件位置。 2、在modelsim中切换工作目录到altera_lib文件夹下:file - change directory。 3、在modelsim中新建库

    2024年02月06日
    浏览(18)
  • 对Modelsim例程文件进行编译、仿真

    要学习verilog同学可以看过来,其实在modelsim里自带一些例程供我们参考学习,本文将带大家一起完成Verilog例程文件的编译和仿真操作,细致地说就是找到官方例程,对它进行编译、仿真得到波形。 Step1:首先找到modelsim软件中的例程:以modelsim-SE10.5版本为例,依次打开modelt

    2024年02月16日
    浏览(29)
  • android studio编译报错:2 files found with path ‘META-INF/kotlinx_coroutines_core.version‘.

    android studio编译报错: 2 files found with path \\\'META-INF/kotlinx_coroutines_core.version\\\'. Adding a packagingOptions block may help 点击上一级报错原因: Execution failed for task \\\':app:mergeDebugJavaResource\\\'. A failure occurred while executing com.android.build.gradle.internal.tasks.MergeJavaResWorkAction     2 files found with path \\\'META

    2024年02月10日
    浏览(25)
  • VIVADO和MODELSIM联合仿真编译库问题

    初次在VIVADO中使用MODELSIM仿真器,或仿真时由于编译库问题报错,可进行如下步骤,个人经验,源于百度,如有问题,请多多指教。 Compiled Library location处选择编译库存放的位置,可在任意处新建文件夹即可;Simulation executable path选择modelsim安装目录下win64文件夹,点击Compile进

    2024年02月12日
    浏览(42)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包