【无标题】FPGA编程入门——实现一位全加器

这篇具有很好参考价值的文章主要介绍了【无标题】FPGA编程入门——实现一位全加器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

实验目的

1、首先基于Quartus 软件采用原理图输入方法完成一个1位全加器的设计。然后通过4个1位全加器的串行级联,完成一个4位全加器的原理图设计;再改用 Verilog编程(3种模式:门电路、数据流和行为级描述),完成这个4位全加器设计,并观察Verilog代码编译综合后生成的 RTL电路,与之前电路图设计的4位全加器电路进行对比 。

2、编写 测试激励Verilog模块,用Modelsim 对4位全加器 Verilog模块进行仿真测试,观察仿真波形图。如果仿真波形的逻辑功能正确,就连接的实验室 DE2-115开发板硬件上,完成引脚绑定,烧录,再拨动按钮开关,进行加法器 正确性的验证。

一位全加器

半加器

1、半加器的定义:

半加器是一种电子逻辑门,用于将两个输入位的数字相加,并产生一个求和位和一个进位位作为输出

2、半加器真值表
【无标题】FPGA编程入门——实现一位全加器,fpga开发
3、半加器的输出表达式
【无标题】FPGA编程入门——实现一位全加器,fpga开发
4、半加器的逻辑电路图
【无标题】FPGA编程入门——实现一位全加器,fpga开发

一位全加器原理

一位全加器可以看作由两个半加器组成,其中一个用于计算当前位的求和位,另一个用于计算进位位。全加器在多位加法器中使用,以实现多位数之间的加法运算。

一位全加器真值表:
A和B是加数,cin是低位进位,cout是高位进位(可以将其理解为溢出),s是本位和
【无标题】FPGA编程入门——实现一位全加器,fpga开发
一位全加器输出公式:
【无标题】FPGA编程入门——实现一位全加器,fpga开发
一位全加器原理图:
【无标题】FPGA编程入门——实现一位全加器,fpga开发

原理图实现一位全加器

1、在Quartus中新建项目
【无标题】FPGA编程入门——实现一位全加器,fpga开发
2、然后在出现的界面中填写工程的路径和名称,我这里创建的项目名称为full_add_1bit;接着选择需要的芯片,然后接着Next,直到Finish,完成工程的创建。
【无标题】FPGA编程入门——实现一位全加器,fpga开发
3、在构建好的项目中点击File->New新建如下文件来绘制原理图
【无标题】FPGA编程入门——实现一位全加器,fpga开发

4、根据一位加法器原理图选择组件绘制电路图
【无标题】FPGA编程入门——实现一位全加器,fpga开发
双击器件可以修改器件名称,为了之后的试验,将两个input分别修改为num1和num2,and2的输出为cout,xor的输出为sum。绘制好的电路图如下:
【无标题】FPGA编程入门——实现一位全加器,fpga开发
编译电路图,并在Tool->Netlist Viewers->RTL Viewer中查看电路图
【无标题】FPGA编程入门——实现一位全加器,fpga开发

仿真验证

1、创建一个向量波形文件,File->New,然后选择“University Program VWF”
【无标题】FPGA编程入门——实现一位全加器,fpga开发
2、在新创建的波形文件中添加前面生成的一位全加器组件
【无标题】FPGA编程入门——实现一位全加器,fpga开发
先选择Node Finder这个选项,然后再点击List,之后点击’>>',然后点击两次OK,就可以回到上面的那个界面,并且,此时信号也添加成功了。
【无标题】FPGA编程入门——实现一位全加器,fpga开发
3、添加成功后波形结果如下:
【无标题】FPGA编程入门——实现一位全加器,fpga开发
4、对波形信号进行编辑,之后进行仿真检验
【无标题】FPGA编程入门——实现一位全加器,fpga开发
5、检验结果如下,对照真值表,发现检验结果符合预期,电路正确,可以进行烧录
【无标题】FPGA编程入门——实现一位全加器,fpga开发

烧录运行

3

实验总结

总的来说,这次的试验还是比较简单的。相当于是熟悉一下这些软件和代码的编写。为之后的学习打下一定的基础。文章来源地址https://www.toymoban.com/news/detail-772450.html

到了这里,关于【无标题】FPGA编程入门——实现一位全加器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA编程入门——基于Quartus件完成一个1位全加器的设计

    基于Quartus件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。开发板基于Intel DE2-115。 在此基础上,用原理图以及Verilog 编程两种方式,完成4位全加器的设计,对比二者生成的 RTL差别;使用modelsim验证逻辑设计的正确性,并在DE2-115开

    2024年02月05日
    浏览(46)
  • 一位全加器的设计与实践

    半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路,其真值表如下 也就是说,这个半加器的输出表达式为S=A⊕B,C=AB,逻辑电路图如下 全加器的真值表如下,其中Ain表示被加数,Bin表示加数,Cin表示低位进位,Cout表示高位进位,Sum表示本位和

    2024年02月08日
    浏览(31)
  • FPGA实现1位全加器和4位全加器

    1、基于Quartus件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。 2、在此基础上,用原理图以及Verilog 编程两种方式,完成4位全加器的设计,对比二者生成的 RTL差别;使用modelsim验证逻辑设计的正确性。 num1和num2是加数,cin是低位进

    2024年02月05日
    浏览(30)
  • FPGA——1位全加器和4位全加器的实现

    1、半加器 半加器是实现两个一位二进制数加法运算的器件。它具有两个输入端(被加数A和加数B)及输出端Y。 是数据输入被加数A、加数B,数据输出S和数(半加和)、进位C。 A和B是相加的两个数,S是半加和数,C是进位数。 所谓半加就是不考虑进位的加法,它的真值表如下 (见表

    2024年02月04日
    浏览(55)
  • Verilog学习二:设计一个一位全加器

    本部分将不再介绍Vivado工程的整体流程,将主要精力放在代码上面,具体的流程可参考:https://blog.csdn.net/crodemese/article/details/130438348 本部分代码也已上传到github:https://github.com/linxunxr/VerilogStudy 那么什么是全加器呢?我们都知道加法,即1+1=2,当个位数相加大于9时就需要进位。

    2024年02月08日
    浏览(35)
  • 【FPGA实验一】半加器全加器实现

    半加器 什么是半加器? 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路。 半加器的真值表 半加器表达式: 半加器电路图: 建立原理图 启动 Quartus II 软件,选择File-New Project Wizard,在出现的界面下先Next,填写工程的路径和名称,然后接着

    2024年02月12日
    浏览(34)
  • FPGA-1位全加器的实现

    1.半加器的定义 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路。 2.半加器的真值表 A,B表示二进制数,C表示半加进位,S表示半加和 A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 3.输出表达式 S = A ˉ B + A B ˉ = A ⊕ B C = A B 1.1位全加器的真值表 Ain表示被加数

    2024年02月03日
    浏览(34)
  • FPGA面试题【Verilog实现一个2位带进位全加器,画出门级电路】

    目录 题目 核心思路 答案 FPGA全貌 Verilog实现一个2位带进位全加器,画出门级电路 思路见代码注释   第1节 什么是 FPGA FPGA 的全称为 Field-Programmable Gate Array,即现场可编程门阵列。 FPGA 是在 PAL、 GAL、 CPLD 等可编程器件的基础上进一步发展的产物, 是作为专用集成电路( ASI

    2024年02月08日
    浏览(37)
  • FPGA基础——全加器

    全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。多个一位全加器进行级联可以得到多位全加器。 一位全加器为例 Ain表示被加数,Bin表示加数,Cin表示低位进位,Cou

    2024年02月09日
    浏览(33)
  • 【FPGA】如何理解全加器

    半加器 比较容易理解,它的电路指对两个输入数据位(a、b)相加,输出一个结果位(sum)和进位(cout),但没有计算进位输入的加法器电路。 输出表达式 相比半加器, 全加器 是将低位进位输入也代入计算的加法电路,同样输出一个结果位和进位。1位全加器就是计算带进

    2024年02月05日
    浏览(30)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包