verilog手撕代码4——串行数据和并行数据转换

这篇具有很好参考价值的文章主要介绍了verilog手撕代码4——串行数据和并行数据转换。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。


前言

2023.4.26


一、串转并

把串行输入的数据存储起来,移位寄存器移位,得到并行数据

module SIPO1 (
	input 				clk 	,    
	input 				rst_n	, 	
	input 				din 	,  	
	output reg [7:0]	dout		
);

	always @(posedge clk or negedge rst_n) begin : 
		if(~rst_n) begin
			dout <= 8'b0 ;
		end 
		else begin
			dout <= {dout[6:0],din};  //数据左移,串行输出的先是最高位
		end
	end

endmodule 

二、并转串

把并行数据缓存下来,再移位一个个数据输出文章来源地址https://www.toymoban.com/news/detail-772852.html

module(
	input clk,
	input reset,
	input [3:0] in,
	input en,      //使能信号,每次输出一个串行数
	output data);
	
	reg [3:0] in_r;

	always@(posedge clk)begin
		if(!reset)
			data <= 1'b0;
		else if (en)
			in_r <= in;
		else
			in_r <= in_r<<1;
	end
	
	assign data = in_r[3];
endmodule

到了这里,关于verilog手撕代码4——串行数据和并行数据转换的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Verilog手撕代码(6)分频器

    分频就是生成一个新时钟,该新时钟的频率是原有时钟频率的整数分之一倍,新周期是原有周期的整数倍。 再简单来说,让你手撕一个四分频电路,就是写代码生成一个周期是原来四倍的时钟,如果手撕一个三分频电路,就是写代码生成一个周期是原来三倍的时钟。 如图为

    2024年02月08日
    浏览(29)
  • verilog手撕代码3——序列检测和序列发生器

    2023.4.25 2023.4.26 学习打卡,天气转晴 1.1.1 序列缓存对比/移位寄存器法 把输入的数据缓存到数组,然后与目标进行对比 例1 :检测序列 0111_0001 ,满足序列输出为1 例2 :检测序列 1101 ,用移位寄存器实现 例3 : 含有无关项的序列检测 检测序列 001_xxx_110 ,中间三位不关心,这

    2024年02月05日
    浏览(28)
  • 计算机网络-数据通信基础知识(数据通信模型 相关术语 单工/半双工/全双工 串行/并行 同步/异步 码元 数据传输速率 带宽)

    广域网中有模拟信道,模拟信道能传模拟信号,不能传数字信号 数据从计算机网卡中以数字信号发出,经过调制解调器转换为模拟信号以放到广域网上的模拟信道传输,再由调制解调器转换为数字信号,数字信号经过计算机的转换才将数据展现出来 发送端的调制解调器:发

    2024年01月25日
    浏览(43)
  • 【数字IC手撕代码】Verilog无毛刺时钟切换电路|题目|原理|设计|仿真

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区 联合力荐 !近500篇 数字IC精品文章收录 ! 【数字IC精品文章收录】学习路线·基础知识·总线·脚本语言·芯片求职·EDA工具·低功耗设计Verilog·STA·设计·验证·FPGA·架构·AMBA·书籍 本系列旨在提

    2023年04月08日
    浏览(27)
  • 串行&并行 同步&异步通信

    终端与其他设备(例如其他终端、计算机和外部设备)通过数据传输进行通信。数据传输可以通过两种方式进行: 并行通信和串行通信。 是指使用一条数据线,将数据一位一位地依次传输,每一位数据占据一个固定的时间长度。其只需要少数几条线就可以在系统间交换信息

    2023年04月20日
    浏览(27)
  • verilog手撕代码2——各种加法器介绍——真值表、表达式、电路图

    2023.4.25 两个数直接相加,无进位,真值表如下 两个数和进位一起相加,根据真值表画出卡诺图,对表达式进行化简 用两个半加器来组成一个全加器 原理 : N bit的加法器由N个1 bit的全加器组成 。从低位开始,逐位相加, 每一bit需要等待前面计算出来得到进位,才能进行下一

    2024年02月01日
    浏览(35)
  • Verilog语法学习——LV10_使用函数实现数据大小端转换

    题目来源于牛客网 [牛客网在线编程_Verilog篇_Verilog快速入门 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 在数字芯片设计中,经常把实现特定功能的模块编写成函数,在需要的时候再在主模块中调用,以提高代码的复用性和提高设计的层次,分别后续的

    2024年02月15日
    浏览(36)
  • verilog手撕代码5——计数器(置位、加减、环形、扭环形、格雷码计数器实现)

    2023.5.12 编写一个十六进制计数器模块,计数器输出信号递增每次到达0,给出指示信号 zero ,当置位信号 set 有效时,将当前输出置为输入的数值 set_num 。 注意 :这里zero=1和num=0是同一拍输出的,按道理如果根据num=0,然后去输出zero=1应该延迟一拍。所以这里考虑将number延迟一

    2024年02月07日
    浏览(44)
  • 介绍串行和并行两种通信方式

    参考文章: 串行和并行- CSDN搜索 并行传输和串行传输的区别_金陵大掌柜的博客-CSDN博客 (1)串行和并行是计算机领域中两个重要的概念。串行是指在计算机中,任务按照顺序一个一个执行,每个任务执行完后才能执行下一个任务。而并行是指多个任务同时进行,即多个任务

    2024年02月09日
    浏览(29)
  • 为什么串行接口速率比并行接口快?

    串行接口的速率会比并行快,可以从下面四个方面考虑: ①高速串口不需要时钟信号来同步数据流,也就没有时钟周期性的边沿,频谱不会集中,所以噪声干扰少很多。 以PCIE和SATA为例,时钟信息通过8b/10b编码已经集成在数据流里面,数据本身经过加扰,绝对不可能有多于

    2024年02月07日
    浏览(45)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包