vivado数字秒表verilog代码ego1开发板电子秒表跑表

这篇具有很好参考价值的文章主要介绍了vivado数字秒表verilog代码ego1开发板电子秒表跑表。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:vivado数字秒表verilog代码ego1开发板电子秒表跑表

软件:VIVADO

语言:Verilog

代码功能:

数字秒表设计

1、秒表的设计精确到10毫秒(0.01秒)

2、可通过按键控制秒表启动、暂停、复位

3、数码管显示分、秒、毫秒

本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管脚适配:

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

代码下载:vivado数字秒表verilog代码ego1开发板电子秒表跑表名称:vivado数字秒表verilog代码ego1开发板电子秒表跑表(代码在文末下载)软件:VIVADO语言:Verilog代码功能:数字秒表设计1、秒表的设计精确到10毫秒(0.01秒)2、可通过按键控制秒表启动、暂停、复位3、数码管显示分、秒、毫秒FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com本代码已在ego1开发板验证,开发板如下,其他开发板可以修改管脚适http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=309

1. 工程文件

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

2. 程序文件

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

3. 程序编译

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

4. 管脚分配

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

5. RTL图

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

6. 仿真

Testbench

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

整体仿真图

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

分频模块

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

按键消抖模块

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

秒表控制模块

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

显示译码模块

cnt_second”改成“cnt_first”,重新综合,生产的bit文件烧录到ego1板,fpga开发,ego1,秒表,verilog,vivado

部分代码展示:文章来源地址https://www.toymoban.com/news/detail-772973.html

//跑表模块
module stopwatch(
input clk_in,
input clk_100Hz,//100Hz--对应10ms
input start_key,//启动//暂停
input reset_key,//复位
output [7:0] stopwatch_Millisecond,//10毫秒
output [7:0] stopwatch_second,//秒
output [7:0] stopwatch_minute//分
);
parameter idle_state=3'd0;
parameter cnt_time_state=3'd1;
parameter hold_time_state=3'd2;
parameter reset_time_state=3'd3;
reg [2:0] state=3'd0;
//计时状态机
always@(posedge clk_in)
if(reset_key)
state<=reset_time_state;//复位状态
else
case(state)
reset_time_state://复位状态
state<=idle_state;
idle_state://空闲状态
if(start_key)
state<=cnt_time_state;
else
state<=idle_state;
cnt_time_state://计时状态
if(start_key)
state<=hold_time_state;
else
state<=cnt_time_state;
hold_time_state://暂停状态
if(start_key)
state<=cnt_time_state;
else
state<=hold_time_state;
default:;
endcase
reg [7:0] Millisecond_cnt=8'd0;//10毫秒
reg [7:0] second_cnt=8'd0;//秒
reg [7:0] minute_cnt=8'd0;//分
always@(posedge clk_in)
if(state==reset_time_state)//复位状态
minute_cnt<=8'd0;
else
if(state==cnt_time_state && clk_100Hz==1)//计时状态
if(Millisecond_cnt==8'd99 && second_cnt==8'd59)//59秒99'时向前记1分
if(minute_cnt<8'd59)
minute_cnt<=minute_cnt+8'd1;//计时到990ms,下一次就到1秒了
else
minute_cnt<=8'd0;
else
minute_cnt<=minute_cnt;
else;
always@(posedge clk_in)
if(state==reset_time_state)//复位状态
second_cnt<=8'd0;
else
if(state==cnt_time_state && clk_100Hz==1)//计时状态
if(Millisecond_cnt==8'd99)//990ms时向前记1秒
if(second_cnt<8'd59)
second_cnt<=second_cnt+8'd1;//计时到990ms,下一次就到1秒了
else
second_cnt<=8'd0;
else
second_cnt<=second_cnt;
else;
always@(posedge clk_in)
if(state==reset_time_state)//复位状态
Millisecond_cnt<=8'd0;
else
if(state==cnt_time_state && clk_100Hz==1)//计时状态
if(Millisecond_cnt<8'd99)//计时到990ms,下一次就到1秒了
Millisecond_cnt<=Millisecond_cnt+8'd1;
else
Millisecond_cnt<=8'd0;//计时到990ms,下一次就到1秒了
else;
assign stopwatch_Millisecond=Millisecond_cnt;
assign stopwatch_second=second_cnt;
assign stopwatch_minute=minute_cnt;
endmodule

到了这里,关于vivado数字秒表verilog代码ego1开发板电子秒表跑表的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 电话拨号显示器verilog代码ego1开发板vivado软件

    名称:电话拨号显示器verilog代码ego1开发板vivado软件 软件:VIVADO 语言:Verilog 代码功能: 电话拨号显示 1、具体0~9的数字按键 2、有开始、拨出、接通、删除按键 3、按下“开始”按键后,可以按数字键进行拨号,每按一次数字,整体号码左移一位,输入错误时可以删除 4、输

    2024年02月04日
    浏览(37)
  • VIVADO弹球游戏VGA显示Verilog代码EGO1开发板游戏

    名称:VIVADO弹球游戏VGA显示Verilog代码EGO1开发板游戏 软件:VIVADO 语言:Verilog 代码功能: 设计一个弹球游戏,并在VGA显示器上显示 1、可以控制游戏开始,开始时数码管显示0分 2、使用按键控制球拍的运动,当控制球拍接住球时,分数加1 3、弹球触碰屏幕边缘或者球拍时可以

    2024年02月03日
    浏览(29)
  • VIVADO自动售票机售货机verilog代码ego1开发板验证

    名称:VIVADO自动售票机售货机verilog代码ego1开发板验证 软件:VIVADO 语言:Verilog 代码功能: 自动售票机  1、自动售票机只出售1角、2角、5角和1元4种车票 2、只接收1角、5角和1元硬币,每次只能出售1张车  3、自动售票机具有累加销售额的功能 实验要求  出售车票用SW0~3分别

    2024年02月05日
    浏览(34)
  • 售货机基于ego1开发板的自动饮料机verilog代码vivado软件

    名称:售货机基于ego1开发板的自动饮料机verilog代码vivado软件 软件:VIVADO 语言:Verilog 代码功能: 设计一个自动饮料机逻辑电路, 它的投币口只能投入一枚五角或一元硬币; 投入一元五角硬币后机器自动给出一杯饮料,投入两元硬币后再给出饮料的同时找回一枚五角硬币;

    2024年01月18日
    浏览(39)
  • 数码管移位循环显示数字verilog代码ego1开发板

    名称:数码管移位循环显示数字verilog代码ego1开发板 软件:VIVADO 语言:Verilog 代码功能: 采用EGO1中的两组数码管,让该8个数码管循环显示:01234567,12345678,23456789.... 电路的输入信号en进行启动或暂停;用按键控制循环,按一下显示下一组数。 FPGA代码Verilog/VHDL代码资源下载

    2024年02月03日
    浏览(30)
  • 基于ego1开发板的万年历自动数字日历设计verilog代码

    名称:基于ego1开发板的万年历自动数字日历设计verilog代码 软件:VIVADO 语言:Verilog 代码功能: 自动数字日历设计  设计自动数字日历,用七段数字显示器显示年(后2位)、月、日和星期数,在计日脉冲的作用下,自动完成1-12月的月、日及星期的计数和显示。 FPGA代码Verilog/VHDL代码

    2024年02月03日
    浏览(31)
  • vivado交通灯设计verilog代码ego1板红绿灯时间可修改

    名称:vivado交通灯设计verilog代码ego1板红绿灯时间可修改 软件:VIVADO 语言:Verilog 代码功能: 十字路口红绿灯设计; 1、每次通行时间可在0-99秒内设定,可以通过按键修改通行时间。 2、路口四边均设红黄绿三色信号灯和用于计时的两位数码管显示时间(十进制数)。 3、黄灯亮

    2024年02月04日
    浏览(31)
  • VIVADO三层电梯控制器VHDL代码ego1开发板

    名称:VIVADO三层电梯控制器VHDL代码ego1开发板 软件:VIVADO 语言:VHDL 代码功能: 3层电梯控制 (1)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下

    2024年02月04日
    浏览(31)
  • Quartus数字秒表verilog代码青创QC-FPGA开发板

    名称:Quartus数字秒表verilog代码青创QC-FPGA开发板(文末获取) 软件:Quartus 语言:Verilog 代码功能: 数字秒表设计 1、支持复位、启动、暂停 2、具有量程切换功能,可以切换显示小时、分钟或者秒、毫秒 3、数码管显示时间,精确到10毫秒 FPGA代码Verilog/VHDL代码资源下载:www.hd

    2024年02月03日
    浏览(28)
  • 基于FPGA的数字电子琴——数电小系统设计【数字电子技术】(使用Vivado中的verilog语言)含piano代码文件(全)

    目录 一、电路功能描述 二、方案设计 1. 总体设计方案 2. 对原理框图或程序流程图做出简单解释 3. 各模块介绍 3.1 音频驱动模块 3.2 LED显示模块 3.3 数码管显示模块 3.4 矩阵键盘模块 3.5 PS2机械键盘模块 3.6 时钟分频模块 3.7 曲谱生成模块 三、总结 数码管和LED显示模块 矩阵键盘

    2024年02月06日
    浏览(33)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包