Quartus的Signal Tap II的使用技巧

这篇具有很好参考价值的文章主要介绍了Quartus的Signal Tap II的使用技巧。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

概述:

Signal Tap II全称Signal Tap II Logic Analyzer,是第二代系统级调试工具,它集成在Quartus II软件中,可以捕获和显示实时信号,是一款功能强大、极具实用性的FPGA片上调试工具软件。

传统的FPGA板级调试是由外接的逻辑分析仪连接到FPGA的控制引脚,将内部信号引出至引脚I/O上,接着进行板级调试。

        note:这种方法缺点是,需要逻辑分析仪,且效率很低,经常需要飞线,而且如果要测10个信号以上就非常庞杂,而且,考虑到100Mhz左右的信号完整性,不能用逻辑分析仪,要用高性能的示波器,测量的信号质量也存在失真的情况。

Signal Tap II在线逻辑分析仪克服了以上所有缺点,将采样逻辑电路编程后放在FPGA中,即,用FPGA资源来构成了嵌入式逻辑分析仪。


Signal Tap II的工作示意图如下:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

        可以看到,Signal Tap Instances将Design Logic中的部分信号引出并保存在FPGA的Buffer资源中暂时存储,通过JTAG 接口读出数据经过烧录器给Quartus显示。

note:Signal Tap中被抓取信号的显示的刷新速率受限于USB Blaser的通信速率。

如下图为Signal Tap的开发流程。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

操作:

1、打开Signal Tap Logic Analyzer

在Quartus软件中打开待测工程,然后选择Signal Tap Logic Analyzer,

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

在弹出的New File from Template窗口选择Default (default selection)即可;

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

弹出Signal Tap Logic Analyzer界面,包含例化管理器(Instance Manager)、JTAG链配置(JTAG Chain Configuration)、节点列表和触发条件(Data与Set两个子界面可选)、信号配置(Signal Configuration)、分层设计(Hierarchy Display,分层显示)以及数据日志(Data Log),如下图。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

2、实例管理

首先选择例化管理器,选中默认的auto_signaltap_0,可以增加、删除、重命名实例,这里一个实例可以理解为一组信号对+一个配置时钟,可以通过Enabled来选择该实例是否被编译到该工程中,因此可以有多组实例,方便分析各不同模块的信号。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

3、时钟配置

选择信号配置,进行实例的时钟配置。

这里注意:只有在工程已进行过分析与阐释后,才能在signal tap中添加想要观察的时钟或者信号

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

弹出的Node Finder界面中,首先在Options-Filter栏选择:Signal Tap: Pre-synthesis,在Options-Look in栏中选择down_sampling_top.v模块,接着输入想要在down_sampling_top.v模块中查看的信号的时钟:clk_7_148m,然后search搜索,在Matching Nodes中双击即可将clk_7_148m添加到Nodes Found栏,并单击ok即可完成时钟的添加,如下图:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

完成后根据需要选择采样深度即可,其他默认,如下图:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

注意:在设置好时钟后,在Signal Configuration界面下滑有Trigger栏,可以设置触发的位置:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

Pre 表示12%的存储深度用作触发事件之前,88%的存储深度用作触发事件之后;

Center 表示50%的存储深度用作触发事件之前,50%的存储深度用作触发事件之后;

Post 表示88%的存储深度用作触发事件之前,12%的存储深度用作触发事件之后。

一般默认都选Pre trigger position,可根据需要设置。

4、添加待抓取信号

双击节点列表和触发条件界面,弹出Node Finder窗口,与上一步添加时钟信号一样的设置,选择自己想要的信号,最后要记得单击insert按键才能正常将信号成功添加。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

5、设置信号触发条件-直接默认即可

默认如下全选,不需要做修改:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

Don't Care 不设置触发方式
Low 低电平触发
Falling Edge 下降沿触发
Rising Edge 上升沿触发
High 高电平触发
Either Edge 双边沿触发

这里的触发条件呢,会在你进行Run Analysis时起作用,此时在Setup界面下根据需要选择触发方式即可,很方便。

6、记得保存

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

选择保存路径为工程所在的quartus文件夹下,打开所在目录会发现多了一个stp1.stp文件,该文件即上述添加至工程中的分析文件。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

7、开始编译

在工具栏选择Start Compilation 开始编译,等待工程编译完成即可。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

8、选择烧录文件并下载

首先连接JTAG烧录器与FPGA板,并给FPGA板子上电,接着Scan Chain,识别FPGA器件,然后选择生成的sof文件,并单击开始下载。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

9、查看抓取的波形

单击Run Analysis运行分析一次,也就是刷新采样一次,单击Autorun Analysis自动连续运行分析,也就是一直刷新采样。

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

补充:

1、在打开的波形窗口,左键单击放大波形窗口,右键单击缩小波形窗口;

2、波形窗口的数据默认16进制,可以选中信号名后,右键单击-Bus Display Format中选择十进制或者其他进制;

3、在Signal Tap Logic Analyzer中下载程序时软件比较卡,可以在Quartus 的Programmer中下载好以后直接在Signal Tap Logic Analyzer分析;

4、在上述第4步添加待住区信号时,如果有些wire/reg定义的信号不能被观察到,或者说stp1.stp中有的信号/时钟显示红色如下:

Quartus的Signal Tap II的使用技巧,FPGA,fpga开发

这表示sof文件烧录到fpga的逻辑资源中没有tx_vid_clk,可能是原来有后来.v模块中删除了,更有可能是有,但是被Quartus软件优化掉了,导致无法使用Signal Tap II观察,针对模块中有,但是signaltap中没有的情况,有两种解决办法:

        方法一、将wire/reg定义的信号改成端口信号(这种方法繁琐不推荐);

        方法二、在待观察的wire/reg定义的信号后面添加特殊字符:

wire        [9:0]       time_cnt  /*synthesis keep*/ ;

reg         [9:0]        time_cnt /*synthesis keep*/;

或者

Quartus:

(* keep = "TRUE" *) wire        [9:0]       time_cnt ;

(* keep = "TRUE" *) reg         [9:0]        time_cnt /*synthesis keep*/;

或者

Quartus:

(* noprune *) wire        [9:0]       time_cnt ;

(* noprune *) reg        [9:0]       time_cnt ;

prune    发音 /pruːn/:

v.修剪;删除;

n.李子干,西梅干;<非正式>讨厌的人,乏味的人;(树枝、灌木丛等的)修剪,修整

5、Signal Tap II除了支持电平、边沿触发外,还支持计数触发,如可以对time_cnt计数器设置具体的值来触发。

6、相同的工程,但是在不同平台上跑的sof与stp文件,如自己的PC1(win10 64环境中的Quartus)与服务器PC2(Ubuntu环境中的Quartus)上的sof与stp文件,能不能将服务器PC2上的sof与stp拿到自己的PC1上进行逻辑分析呢?

说明:

        服务器上跑的工程速度会快很多,比如自己的PC1上跑一个工程需要接近2个小时,但是服务器PC2上跑工程只需要1小时10分钟。

        服务器PC2没有接口可以用来设别JTAG,因此还是要用到自己的PC1来做逻辑分析。

        可以的,将服务器PC2上的sof与stp文件拿到自己的PC1上即可,如果在Signal Tap Logic Analyzer中的设置一样,则只需要将PC2上的sof拿到PC1上即可使用,如果不同,则需要将sof与stp同时挪到同一台机器上。

备注:

stp文件上的信号接口可以多设置,但不能少设置,就是说假如服务器PC2上的stp有20个观察信号,但是PC1上的stp只有10个观察信号,则需要将服务器PC2上的sof与stp同时拿到自己的PC1上进行逻辑抓取分析;

        反过来,自己的PC1上的stp有20个待观察信号,而服务器PC2上仅有10个待观察信号,可以将服务器PC2上的sof拿到自己的PC1上进行逻辑分析即可,不用将PC2上stp也拿到自己的PC1上,当然拿了更好,只是stp的兼容性变差了,如果自己的PC1后续还要跑工程,那就得根据需要重新设置stp了。

7、如果我要保存此次使用signal tap II抓取的波形,怎么保存以及如何打开呢?

        很简单,简述如下:

前提:需要知道Quartus中,除了第一次打开需要创建实例外,每次选择Signal Tap Logic Analyzer打开的都是工程默认的stp1.stp文件。

1、stp1.stp文件中就是最后一次抓取的信号,当然还有一些基本设置;

2、将stp1.stp文件copy一份即可,随便起个英文名称,如test.stp,保存位置可以任意(注意不要stp1.stp文件从Quartus工程中挪走了Q)

3、左键单击将test.stp拖到桌面上的Quartus快捷图标,Quartus即可自动打开该test.stp文件并显示,同时打开了一个空的Quartus工程。

此外,在使用该stp1.stp打开的工程中可以使用In-System Sources and Probes Editor

参考链接:

noprune keep preserve 区别

FPGA零基础学习精选 | Signal tap 逻辑分析仪使用教程文章来源地址https://www.toymoban.com/news/detail-775602.html

到了这里,关于Quartus的Signal Tap II的使用技巧的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • Quartus II Altera FPGA设置默认打开工程文件路径

    刚用Quartus II没多久,每次打开工程,Quartus II都是打开Quartus II默认打开工程文件路径,不是自已存放工程的文件路径,网上搜设置方法,教程很少,现在把我找到的方法分享给大家。 1:打开软件,在软件菜单栏选择“Tools”,如下图所示: 2:展开“Tools”菜单栏,选择“optio

    2024年02月07日
    浏览(46)
  • Quartus 软件界面介绍与部分使用技巧

    内容太多,只能慢慢补充完善了~ 对一个软件的熟练掌握,不仅在于完成项目工程,还在于对一个软件的各个功能的位置与使用要熟稔于心(个人看法)。 默认打开的软件界面如下: 关掉所有能关闭的窗口,剩下的就是一个软件最基本的结构                       

    2024年01月17日
    浏览(26)
  • FPGA新起点V1开发板(三)——Quartus II软件的使用(流水灯的烧录以及sof转jic的方法记录)

    先创建一个工程文件夹 flow_led 再创建四个子文件夹 doc存放工程的设计文档或者其他一些datasheet文档、数据手册 par存放工程文件 rtl存放设计文件,也就代码 sim存放工程的仿真文件 第一个第四个可以为空,但是做此可以有良好的习惯 这是打开一个工程向导 这是选择FPGA的芯片

    2024年02月04日
    浏览(34)
  • FPGA学习任意波函数信号发生器的设计(基于quartus II13.0)

    平台: quartus II 13.0 仿真:signal tap II 语言:VHDL 方式:原理图bdf输入 芯片:Cyclone IV E: EP4CE6E22C8 设计一任意波函数信号发生器,具备以下两功能: ①能输出标准正弦波波形。 ②能输出任意函数波形。 首先明确实验用到的宏模块操作是在tool——MeGaWizard Plug-In Manager中(如下图)

    2024年02月03日
    浏览(33)
  • FPGA-Quartus II 13.1画逻辑门电路图的详细步骤

            作为学习FPGA的小白一枚,总是在看论文的时候发现大牛们的内容中包含逻辑设计图也就是门电路的截图,而我自己在学习的时候看正点原子或者野火视频的时候没有发现这一部分(也有可能有,但我没全部看完系列视频,所以也就没发现),就上网搜索了这一部

    2024年02月12日
    浏览(64)
  • 全定制FPGA硬件电路设计实现最大公约数求取算法(Quartus II)

    目录 一、设计需求 二、设计工具及版本 三、设计原理及结构方案 四、电路设计描述 1. 32位D触发器 2. 32位多路选择器 3. 32位减法器 4. 32位求余电路 5. GCDOUT信号产生电路 6. DONE_L信号产生电路 五、仿真激励设计方案及电路仿真结构 六、设计总结 当前,FPGA设计在很多场合得到

    2024年02月20日
    浏览(38)
  • FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus II软件的安装 文章:【正点原子FPGA连载】第四章Quartus II软件的安装和使用 -摘自【正点原子】新起点之FPGA开发指南_V2.1 资源:FPGA新起点V1开发板 在工具盘里面 破戒:链接 最后当然是成功啦 首先

    2024年02月12日
    浏览(32)
  • FPGA必备软件保姆级教程:Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装教程

    重要提示!此教程只用于学习用途! 目录 安装包提供 Quartus II 15.0(Cyclone V器件库)与ModelSim 10.5 的安装步骤 2023年9月12日补档,Quartus与ModelSim的关联。   教程使用的安装包,均为网络开源资源,侵权立删。百度网盘链接:百度网盘 请输入提取码提取码:hq4n 1.网盘下载这两个

    2024年02月08日
    浏览(33)
  • Quartus 平台 FPGA 片内 RAM 使用

    本文将以 Quartus 自带的 RAM:2-PORT 为例,介绍 EP4CE6E22C8 On Chip Memory 的使用 位于 On Chip Memory 下有两个 RAM IP 核 分别是单端口 RAM 和双端口 RAM: 单端口RAM只有一组地址线,这组地址线控制着写数据端口和读数据端口, 而双端口RAM具有两组地址线,这两组地址线分别控制着写数据

    2024年02月03日
    浏览(33)
  • 【FPGA】Quartus18.1的安装以及使用

    https://www.intel.com/content/www/us/en/software-kit/665990/intel-quartus-prime-lite-edition-design-software-version-18-1-for-windows.html 一路next 建立一个 全英文 的文件夹,修改安装路径,点击next即可 软件在此 把license_all.bat复制到安装路径下的 quartusbin64 中,以我的安装路径为例。 安装路径: D:SoftW

    2024年02月04日
    浏览(41)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包