数字逻辑实验之利用D触发器,设计并实现三位扭环计数器

这篇具有很好参考价值的文章主要介绍了数字逻辑实验之利用D触发器,设计并实现三位扭环计数器。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

实验要求】:

采用Moore(摩尔型)电路,利用D触发器,设计并实现三位扭环计数器并用Verilog编程语言写出其代码。

实验目的】 

  1. 掌握时序逻辑电路的设计方法;
  2. 熟悉Vivado2014集成开发环境和;
  3. 实现如下图所示的三位扭环计数器。

三位计数器的仿真,数字逻辑,fpga开发,算法,经验分享 

实验环境

  1. FPGA虚拟仿真平台。
  2. Vivado2014 集成开发环境。
  3. Verilog编程语言。

实验原理包括:功能描述,真值表,逻辑方程,电路图,Verilog代码实现(硬件映射代码),实验结果或者仿真结果

功能描述:扭环计数器(Twisted Ring Counter),也称作反向反馈移位寄存器,是一种特殊的移位寄存器。在三位扭环计数器中,输出不仅从最后一个触发器反馈到第一个,而且是反向反馈。因此,在三位的情况下,它会产生一个3位长度的重复序列。

真值表:

现态

激励函数

次态

Y2(n) Y1(n) Y0(n)

D2

D1

D0

Y2(n+1) Y1(n+1) Y0(n+1)

0

0

0

0

0

1

0

0

1

0

0

1

0

1

1

0

1

1

0

1

0

1

0

0

1

0

0

0

1

1

1

1

1

1

1

1

1

0

0

0

0

0

0

0

0

1

0

1

0

1

0

0

1

0

1

1

0

1

0

0

1

0

0

1

1

1

1

1

0

1

1

0

三位计数器的仿真,数字逻辑,fpga开发,算法,经验分享

电路图:三位计数器的仿真,数字逻辑,fpga开发,算法,经验分享

代码原理以及实现:

//三位扭环计数器Verilog编程代码

module D(

input clk,

input reset,

input d,

output reg q

);

always @(posedge clk or posedge reset)

begin

if(reset) q<=0;

else q<=d;

end

endmodule

module circle_3(

input clk,

input rst,

output [2:0] q

);

wire d2,d1,d0;

assign d0=~q[2]&&∼(q[1]&&∼q[0]);

assign d1=q[0];

assign d2=q[1];

D dt2(clk, rst,d2,q[2]);

D dt1(clk, rst,d1,q[1]);

D dt0(clk, rst,d0,q[0]);

 endmodule

仿真结果(烧写结果):

三位计数器的仿真,数字逻辑,fpga开发,算法,经验分享

三位计数器的仿真,数字逻辑,fpga开发,算法,经验分享 

点赞关注 不然我会伤心的呀 文章来源地址https://www.toymoban.com/news/detail-777785.html

到了这里,关于数字逻辑实验之利用D触发器,设计并实现三位扭环计数器的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字设计小思 - D触发器与死缠烂打的亚稳态

    本系列整理数字系统设计的相关知识体系架构,为了方便后续自己查阅与求职准备。在FPGA和ASIC设计中,D触发器是最常用的器件,也可以说是时序逻辑的核心,本文根据个人的思考历程结合相关书籍内容和网上文章,聊一聊D触发器与亚稳态的那些事。 由传输门和两个反相器

    2024年02月03日
    浏览(26)
  • Verilog设计实现D触发器与JK触发器

    题目:         用Verilog实现以下电路:                 1. 带复位端的正边沿触发的D触发器;                 2.带复位端的正边沿触发的JK触发器。 包括sys_clk,复位信号sys_rst_n,输入信号key_in以及输出信号led_out; 采用行为级描述: testbench仿真代码编写:

    2024年04月28日
    浏览(68)
  • 存储过程、触发器、游标、视图、自定义函数 字段类型、字段可空、统计字段、逻辑删除 权限系统数据库&无限极类别设计

    在数据库设计中,存储过程、触发器、游标、视图、自定义函数、字段类型、字段可空、统计字段、逻辑删除以及权限系统和无限级类别设计都是重要的概念。下面我将逐一解释这些概念,并提供相关的设计建议。 存储过程 (Stored Procedure) 定义 :存储过程是一组为了完成特定

    2024年03月09日
    浏览(86)
  • 触发器功能表和时序逻辑电路

    本人自用笔记 基本RS触发器 S为Set,R为Reset,功能表为 其中触发器的现态是指上一个状态,次态是指R,S触发之后的状态。 同步RS触发器 在单片机中需要时钟晶振来触发,不能总是手动,太麻烦了,所以推出了同步RS触发器,同步触发器引入了CP引脚,下面图中CP高电平才是触发

    2024年02月11日
    浏览(38)
  • VHDL语言基础-时序逻辑电路-触发器

    目录 触发器: D触发器: 触发器的VHDL描述: 触发器的仿真波形如下:​编辑 时钟边沿检测的三种方法: 方法一: 方法二: 方法三: 带有Q非的D触发器: 带有Q非的D触发器的描述: JK触发器: JK触发器: JK触发器的VHDL描述: T触发器: 真值表: T触发器: T触发器的VHDL描述

    2024年02月05日
    浏览(43)
  • 时序逻辑基础之D触发器&分频器

    D触发器原理如下 D触发器模拟波形图如下 由图可知Q值不仅与D有关,同时需要考虑到clk上升沿到来后有效 输入一个时钟信号,将输出的信号的时钟周期变为输入信号的时钟周期的N倍,我们将这种器件叫做N分频器。 我们的目的是生成一个N分频器,可以实现任意比例分频。需

    2024年02月06日
    浏览(36)
  • 硬件基础-时序逻辑电路-触发器画波形图问题解析

    本文章可能只适合软件工程专业对于计算机硬件基础。对于专业数电的朋友可能就是小巫见大巫了,笔者才疏学浅,仅供本专业基础课程同学的参考,如有错误希望大佬斧正! 先来看下下面这道题: 试画出图(a)所示电路中触发器输出Q1、Q2端的波形,CLK的波形如图(b)所

    2024年02月02日
    浏览(33)
  • D触发器仿真实验

    关于D触发器的内容见专栏的 单片机原理及应用 ,主要是时钟脉冲出现时候,会改变输出状态。 下面来做一个D触发器的仿真实验。 74LS74是一款现代集成电路芯片,属于TTL(Transistor-Transistor Logic)系列的D触发器芯片。它内部集成了两个D触发器,能够实现多种数字电路功能。

    2024年02月08日
    浏览(28)
  • 实验七 函数与触发器

    MySQL存储函数 存储函数和存储过程一样,都是在数据库中定义一些 SQL 语句的集合。存储函数可以通过 return 语句返回函数值,主要用于计算并返回一个值。而存储过程没有直接返回值,主要用于执行操作。 在 MySQL 中,使用 CREATE FUNCTION 语句来创建存储函数,其语法形式如下

    2024年02月03日
    浏览(26)
  • 触发器的创建与管理实验

    一、验证性实验 某同学定义产品信息product表,主要信息有:产品编号、产品名称、主要功能、生产厂商、c厂商地址,生成product表的SQL代码如下: CREATE TABLE product ( id INT(10) NOT NULL UNIQUE PRIMARY KEY , name VARCHAR(20) NOT NULL , function VARCHAR(50) , company VARCHAR(20) NOT NULL, address VARCHAR(50) )

    2024年02月09日
    浏览(24)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包