数字频率计Verilog代码Quartus DE1-SoC开发板

这篇具有很好参考价值的文章主要介绍了数字频率计Verilog代码Quartus DE1-SoC开发板。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:数字频率计Verilog代码Quartus  DE1-SoC开发板(文末获取)

软件:Quartus

语言:Verilog

代码功能:

数字频率计    采用一个标准的基准时钟,在1s里对被测信号的脉冲数进行计数,即为信号频率利用等精度测量法可以测量1hz至99999999Hz信号频率

七段码管显示测量值

本代码已在DE1-SoC开发板验证,DE1-SoC开发板如下,其他开发板可以修改管脚适配:

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

1. 工程文件

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

2. 程序文件

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

3. 程序编译

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

4. 程序RTL图(结构图)

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

5. 管脚分配

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

6. Testbench

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

7. 仿真图

整体仿真图

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

计数模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

显示模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

闸门信号产生模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

单位选择模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

锁存模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

超量程模块

数字频率计Verilog代码Quartus DE1-SoC开发板,fpga开发

部分代码展示:

//顶层模块
module frequency_detect(
input clk_in,//输入50M基准时钟
input reset_p,//复位信号,低电平复位
input [7:0] SW,//频率调节开关
input signal_in,//待测频率输入--GPIO_0_0
output gear_led,//单位指示灯
output over_load_led,//超量程指示灯
output [7:0]HEX0,//数码管段选
output [7:0]HEX1,//数码管段选
output [7:0]HEX2,//数码管段选
output [7:0]HEX3,//数码管段选
output [7:0]HEX4,//数码管段选
output [7:0]HEX5//数码管段选
);
wire doors_open;
wire [31:0] total_frequency;
wire [31:0] CNT1_buf;//基准时钟计数器寄存器
wire [31:0] CNT2_buf;//待测频率计数器寄存器
wire [31:0] display_frequency;//显示数值
wire clk_out;
//分频模块,产生自测频率
clk_div i_clk_div(
. clk_in(clk_in),//输入50M基准时钟
. SW(SW),//频率调节开关
. clk_out(clk_out)//待测频率
);
分频模块,产生闸门信号
div_doors i_div_doors(
. clk_in(clk_in),//输入50M基准时钟
. reset_p(reset_p),//复位信号
. signal_in(clk_out),
. doors_open(doors_open)//闸门信号
);
//计数模块
count_cal i_count_cal(
. clk_in(clk_in),//输入50M基准时钟
. signal_in(clk_out),//待测频率输入
. doors_open(doors_open),
. CNT1_buf(CNT1_buf),//输出基准时钟计数器
. CNT2_buf(CNT2_buf)//输出待测频率计数器
);
//锁存模块
latch_buf i_latch_buf(
. clk_in(clk_in),//输入50M基准时钟
. doors_open(doors_open),
. total_frequency(total_frequency),//输出频率
. CNT1_buf(CNT1_buf),//输出基准时钟计数器
. CNT2_buf(CNT2_buf)//输出待测频率计数器
);
//单位选择模块
gear_position i_gear_position(
. clk(clk_in),
. total_frequency(total_frequency),//频率
. gear_led(gear_led),//单位指示灯
. display_frequency(display_frequency)//显示数值
);
//超量程指示模块
over_load i_over_load(
. clk(clk_in),
. total_frequency(total_frequency),//频率
. over_load_led(over_load_led)//超量程指示灯
);
//数码管显示模块
display i_display(
. clk(clk_in),
. display_frequency(display_frequency),//输出频率
. HEX0(HEX0),
. HEX1(HEX1),
. HEX2(HEX2),
. HEX3(HEX3),
. HEX4(HEX4),
. HEX5(HEX5)
);
endmodule
源代码

 扫描文章末尾的公众号二维码文章来源地址https://www.toymoban.com/news/detail-777923.html

到了这里,关于数字频率计Verilog代码Quartus DE1-SoC开发板的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA & Modsim】数字频率计

    module flag(clk,rst_n,cnt); input clk; input rst_n; output [2:0]cnt ; reg[31:0]count ; reg [2:0]cnt; always@(posedge clk or negedge rst_n) begin if(~rst_n)begin count=0 ; cnt=3\\\'d0 ;end else if (count= 32\\\'d24 999) begin cnt=cnt+1\\\'b1 ;count=32\\\'d0 ; end else if (cnt=3\\\'d6) begin cnt=0;end else count=count+1\\\'b1 ; end endmodule \\\'timescale 1 ns/ 1ps / module seg (

    2024年01月16日
    浏览(38)
  • VHDL实现数字频率计的设计

    当设计文件加载到目标器件后,拨动开关的K1,使其置为高电平,从输入输出观测模块的输入端输入一个频率大于1Hz的时钟信号,这时在数码管上显示这个时钟信号的频率值。如果使拨动开关置为低电平,数码管上显示的值为系统上的数字信号源的时钟频率。改变数字信号源

    2024年02月02日
    浏览(53)
  • 基于FPGA的数字频率计的设计与实现

    基于FPGA的数字频率计的设计与实现 数字频率计是一种重要的电子测试仪器,它可以用来测量信号的频率和周期等参数,被广泛应用于科学研究、工程设计及生产制造等领域。本文将介绍一种基于FPGA的数字频率计的设计与实现方法,并给出相应的源代码。 一、设计原理 数字

    2024年01月23日
    浏览(40)
  • 基于51单片机数字频率计的设计与实现

    目录 第一章 系统原理与总体设计 1.1系统组成 1.2系统原理 1.3测量原理 1.4频率测量与总体设计 第二章 硬件电路设计 2.1硬件电路框图 2.2数字频率计原理图 2.3硬件电路设计 第三章 软件程序设计 3.1程序流程图 3.2显示电路程序设计 3.3 定时器初始化程序设计 3.4中断控制程序设计

    2024年02月08日
    浏览(39)
  • FPGA—简易频率计(附代码)

    目录 1. 内容概要 2. 理论学习 3. 实操 3.1 整体设计 3.2 频率计算模块 3.2.1 模块框图 3.2.2  波形图绘制 3.2.3  RTL代码 3.3 顶层模块 3.4 仿真验证 3.5 上板验证 4. 总结        频率测量在电子设计领域和测量领域经常被使用,本文讲解 等精度测量法 的原理和实现方法,使用FPGA 设

    2024年02月09日
    浏览(33)
  • 基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解)

    基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解) 仿真图proteus 8.9 程序编译器:keil 5 编程语言:C语言 设计编号:C0079 基于STM32的简易数字频率计仿真设计(仿真+程序+设计报告+讲解) 结合实际情况,基于STM32F103单片机设计一个数字频率计仿真设计。该设计应满

    2024年02月09日
    浏览(36)
  • 基于51单片机数字频率计仿真设计(proteus仿真+程序+原理图+参考报告+器件清单)

    (proteus仿真+程序+原理图+参考报告+器件清单) 仿真图proteus 8.9 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:C0052 由51单片机+信号输入+74HC14整形电路+74HC390分频电路+LCD1602显示模块+电源构成。 1、能测出正弦波、三角波或方波等波形的频率; 2、频率的测量范围为1Hz—

    2024年02月09日
    浏览(35)
  • 基于FPGA的频率计

    好久没更了,百忙之中写一篇so easy的代码——基于FPGA的频率计设计。 废话不多说,下面是百度搜索关于频率计的简洁概念。 数字频率计是一种基本的测量仪器,被广泛应用于航天、电子、测控等领域。基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,

    2024年02月12日
    浏览(36)
  • 基于51单片机的频率计

    前言:设计一个能产生固定频率的电路,然后经过单片机处理后显示该固定频率的系统。 1、指标以及功能要求 指标:该系统要能够产生一个31KHz的方波,进过单片机脉冲采集后能够在液晶上显示出该频率。要求:所用的知识要涉及到模拟电路知识和数字电路知识。 2、设计分

    2024年02月09日
    浏览(42)
  • 【单片机】STM32单片机频率计程序,外部脉冲计数程序,基于脉冲计数的频率计程序,STM32F103

    两种方法用于在单片机中实现频率计的功能。 第一种方法是通过定时器来衡量信号的周期,然后将周期转换为频率。在这种方法中,你可以使用单片机的定时器模块来测量输入信号的周期,定时器会产生一个计数值,你可以根据这个计数值来推算出输入信号的周期,并通过简

    2024年02月11日
    浏览(41)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包