基于FPGA的UDP 通信(一)

这篇具有很好参考价值的文章主要介绍了基于FPGA的UDP 通信(一)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

引言

手头的FPGA开发板上有一个千兆网口,最近准备做一下以太网通信的内容。本文先介绍基本的理论知识。

FPGA芯片型号:xc7a35tfgg484-2

网口芯片(PHY):RTL8211

网络接口:RJ45


简述以太网

什么以太网?

以太网是一种计算机局域网技术。IEEE组织的IEEE 802.3标准制定了以太网的技术标准,它规定了包括物理层的连线、电子信号和介质访问层协议的内容。以太网是应用最普遍的局域网技术。

常用的传输速率

以太网传输速率:10Mbps、100Mbps、1000Mbps、10Gbps

硬件接口

以太网的接口类型有,RJ45接口、RJ11接口,SC光纤接口等。RJ45的接口插头和插座的示意图:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

RJ-45接口定义:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

关于MAC与PHY

OSI模型

提到以太网,就要先简单说下 OSI(Open System Interconnection) 7层网络模型:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

应用层:网络服务与最终用户的一个接口。协议有HTTP 、FTP、 TFTP、 SMTP、 SNMP、 DNS、 TELNET、 HTTPS、 POP3、 DHCP

表示层:数据的表示、安全、压缩。格式有,JPEG、ASCll、EBCDIC、加密格式等

会话层:建立、管理、终止会话。对应主机进程,指本地主机与远程主机正在进行的会话

传输层:定义传输数据的协议端口号,以及流控和差错校验。协议有TCP、 UDP,数据包一旦离开网卡即进入网络传输层

网络层:进行逻辑地址寻址,实现不同网络之间的路径选择。协议有ICMP、 IGMP 、IP(IPV4 IPV6)

数据链路层:建立逻辑连接、进行硬件地址寻址、差错校验等功能。(由底层网络定义协议)将比特组合成字节进而组合成帧,用MAC地址访问介质,错误发现但不能纠正。

物理层:建立、维护、断开物理连接。(由底层网络定义协议)

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档
对于FPGA实现以太网数据传输(主要是局域网)来说,最主要的就是 数据链路层物理层

MAC

MAC(Media Access Control Address)就是媒体接入控制器。以太网MAC由IEEE-802.3以太网标准定义。它实现了一个数据链路层。最新的MAC同时支持10/100/1000Mbps速率。通常情况下,它实现MII/GMII/RGMII接口,来同行业标准PHY器件实现接口。

对于FPGA的应用来说,MAC层的实现就在 FPGA侧通过RTL代码编程实现。

MAC地址

MAC地址也叫物理地址、硬件地址,由网络设备制造商生产时烧录在网卡的EPROM。IP地址与MAC地址在计算机里都是以二进制表示的,IP地址是32位的,而MAC地址则是48位的 。

MAC地址的长度为48位(6个字节),通常表示为12个16进制数,如:00-16-EA-AE-3C-40就是一个MAC地址,其中前3个字节,16进制数00-16-EA代表网络硬件制造商的编号,它由IEEE(电气与电子工程师协会)分配,而后3个字节,16进制数AE-3C-40代表该制造商所制造的某个网络产品(如网卡)的系列号。只要不更改自己的MAC地址,MAC地址在世界是唯一的。

PHY

PHY是物理接口收发器,它实现物理层。IEEE-802.3标准定义了以太网PHY。它符合IEEE-802.3k中用于10BaseT和100BaseTX的规范。

开发板上用到的网络芯片 RTL8211就是负责物理层。
PHY芯片在发送数据时,首先将MAC发过来的并行数据转化为串行流数据,按照物理层的编码规则把数据编码转换为模拟信号通过网口发送出去,接收数据的流程反之。
当我们给网卡接入网线的时候,PHY芯片不断发出脉冲信号来检测对端是否有设备,它们通过标准的“语言”交流,互相协商并确定连接速度、双工模式、是否采用流控等。通常情况下,协商的结果是两个设备中能同时支持的最大速度和最好的双工模式。这个技术被称为自动协商。

附:RTL8211芯片手册给出的IEEE802.3自协商优先级规定:
udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

FPGA与PHY芯片、网络接口的连接关系:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

MII接口时序介绍

MII(Medium Independent Interface)媒体独立接口,主要针对十兆/百兆以太网通信。

引脚连接示意图

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

针脚含义说明

针脚名称

输入/输出

(相对MAC侧而言)

位宽

含义

TX_ER

输出

1

Transmit Error,发送数据错误提示信号;

同步于TX_CLK,高电平有效,表示TX_ER 有效期内传输的数据无效。

对于10Mbps 速率下,TX_ER 不起作用。

TX_EN

输出

1

Transmit Enable,发送使能信号,只有在TX_EN 有效(高电平)期内传的数据才有效。

TX_CLK

输入

1

发送数据参考时钟。

🔶100Mbps 速率下,时钟频率为 25MHz。

🔷10Mbps 速率下,时钟频率为 2.5MHz 。

该时钟是由 PHY侧提供。

TXD

输出

4

TransmitData ,数据发送信号,共 4 根信号线。

RX_ER

输入

1

Receive Error 接收数据错误提示信号;

同步于 RX_CLK高电平有效,表示 RX_ER 有效期内传输的数据无效。对于10Mbps 速率下, RX_ER 不起作用 。

RX_DV

输入

1

Reveive Data Valid 接收数据有效信号;拉高时表示接收数据有效。

RXD

输入

4

ReceiveData ,数据接收信号,共 4 根信号线。

RX_CLK

输入

1

接收数据参考时钟。

🔶100Mbps 速率下,时钟频率为 25MHz。

🔷10Mbps 速率下,时钟频率为 2.5MHz 。

该时钟是由 PHY侧提供。

CRS

输入

1

Carrier Sense ,载波侦测信号,不需要同步于参考时钟,只要有数据传输, CRS 就有效 。

需要注意的是 CRS 只有 PHY在半双工模式下有效 。

COL

输入

1

Collision Detectd ,冲突检测信号,不需要同步于参考时钟 。

需要注意的是 CRS 只有 PHY 在半双工模式下有效

收发时序

发送时序

MAC 侧向 PHY 侧传输数据的时序图如下:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档
接收时序

PHY 侧向 MAC 侧传输数据的时序图如下:

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

GMII接口时序介绍

GMII(Gigabit Medium Independent Interface)媒体独立接口,主要针对十兆/百兆/千兆以太网通信。

引脚连接示意图

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

针脚含义说明

针脚名称

输入/输出

(相对MAC侧而言)

位宽

含义

TX_ER

输出

1

Transmit Error,发送数据错误提示信号;

同步于GTX_CLK,高电平有效,表示TX_ER 有效期内传输的数据无效。

对于10Mbps 速率下,TX_ER 不起作用。

TX_EN

输出

1

Transmit Enable,发送使能信号,只有在TX_EN 有效(高电平)期内传的数据才有效。

GTX_CLK

输出

1

发送参考时钟,时钟频率为125MHz 。 需要注意的是GTX_CLK 时钟的方向是从 MAC 侧指向 PHY 侧的,此时钟是由 MAC 提供的 ,这里与 MII 接口有所差别的地方。

TXD

输出

8

TransmitData ,数据发送信号,共 8 根信号线。

RX_ER

输入

1

Receive Error 接收数据错误提示信号;

同步于 RX_CLK高电平有效,表示 RX_ER 有效期内传输的数据无效。对于10Mbps 速率下, RX_ER 不起作用 。

RX_DV

输入

1

Reveive Data Valid 接收数据有效信号;拉高时表示接收数据有效。

RXD

输入

8

ReceiveData ,数据接收信号,共 8 根信号线。

RX_CLK

输入

1

接收数据参考时钟,时钟频率为

125MHz 。 RX_CLK 是由PHY 侧提供的。

CRS

输入

1

Carrier Sense ,载波侦测信号,不需要同步于参考时钟,只要有数据传输, CRS 就有效 。

需要注意的是 CRS 只有 PHY在半双工模式下有效 。

COL

输入

1

Collision Detectd ,冲突检测信号,不需要同步于参考时钟 。

需要注意的是 CRS 只有 PHY 在半双工模式下有效

收发时序

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

RGMII接口时序介绍

RGMII(Reduced Gigabit Medium Independent Interface)媒体独立接口,主要针对十兆/百兆/千兆以太网通信。GMII 的简化版本,将接口信号线数量从24 根减少到 14 根,时钟频率仍旧为125MHz TX/RX 数据宽度从 8 为变为 4 位。

引脚连接示意图

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

针脚含义说明

针脚名称

输入/输出

(相对MAC侧而言)

位宽

含义

TX_ER

输出

1

该信号线上传送 GMII 接口中的 TX_EN 和 TX_ER 两种信息,在 TX_CLK 的上升沿发送 TX_EN ,下降沿发送 TX_ER 。

TX_CLK

输出

1

发送参考时钟,1000Mbps 速率下,时钟频率为时钟频率为125MHz 。 需要注意的是TX_CLK 时钟的方向是从 MAC 侧指向 PHY 侧的,此时钟是由 MAC 提供的 ,这里与 MII 接口有所差别的地方。

TXD

输出

4

在时钟 TX_CLK 的上升沿发送 GMII 接口中的 TXD[3:0]

在时钟 TX_CLK 的下降沿发送 GMII 接口中的 TXD[7:4] 。

RX_DV

输入

1

该信号线上传送 GMII 接口中的 RX_DV 和 RX_ER 两种信息,在 RX_CLK 的上升沿 传输 RX_DV ,下降沿 传输RX_ER

RXD

输入

4

时钟RX_CLK 的上升沿 传输 GMII 接口中的 RXD[3:0] 0],在时钟 RX_CLK 的下降沿发送 GMII 接口中的 RXD[7:4] 。

RX_CLK

输入

1

接收数据参考时钟,时钟频率为

125MHz 。 RX_CLK 是由PHY 侧提供的。

CRS

输入

1

Carrier Sense ,载波侦测信号,不需要同步于参考时钟,只要有数据传输, CRS 就有效 。

需要注意的是 CRS 只有 PHY在半双工模式下有效 。

COL

输入

1

Collision Detectd ,冲突检测信号,不需要同步于参考时钟 。

需要注意的是 CRS 只有 PHY 在半双工模式下有效

收发时序

RGMII 接口为了保持1000Mbps 的传输速率不变,RGMII 接口在时钟的上升沿和下降沿都采样数据。在参考时钟的上升沿发送GMII 接口中的TXD[3:0]/RXD[3:0],在参考时钟的下降沿发送GMII 接口中的TXD[7:4]/RXD[7:4]。RGMII 同时也兼容100Mbps 和10Mbps 两种速率,此时参考时钟速率分别为25MHz 和2.5MHz。TX_EN 信号线上传送TX_EN 和TX_ER 两种信息,在TX_CLK 的上升沿,下降沿发送TX_ER;同样的,RX_DV 信号线上也传送RX_DV 和RX_ER 两种信息,在RX_CLK 的上升沿传输RX_DV,下降沿传输RX_ER。

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

千兆以太网硬件设计参考

RJ-45

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档
RJ-45的四对差分数据线接PHY芯片RTL8211输出的四队差分数据线。此外PHY输出的LED灯控制信号输出控制RJ-45的黄绿灯状态。
一般来说,黄灯闪烁代表有数据传输,绿灯常亮代表与对端网口互联成功。

RTL8211

udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档
RGMII/GMII模式的切换通过外部跳线帽实现,因为芯片手册声明:
udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档
PHY的物理地址:3'b001
udp fpga,FPGA与接口/通信协议,FPGA,UDP,Powered by 金山文档

参考声明并致谢

【1】正点原子FPGA实战篇之以太网

【2】RTL8211芯片手册

【3】芯路恒开发板资料文章来源地址https://www.toymoban.com/news/detail-777954.html

到了这里,关于基于FPGA的UDP 通信(一)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于UDP协议的千兆以太网传输(FPGA)

    @[TOC]基于UDP协议的千兆以太网传输(FPGA) UDP协议是一种基于无连接协议,即发送端发送数据无需确认接收端是否存在;接收端收到数据后也无需给发送端反馈是否收到,所以UDP在数据发送过程中允许丢失一两包数据。用于对丢包不严格的场合,比如视频流,偶有一两帧的丢

    2024年02月12日
    浏览(62)
  • 基于FPGA的视频接口之千兆网口(三UDP搭建)

            相信网络上对于FPGA驱动网口的开发板、博客、论坛数不胜数,为何博主需要重新手敲一遍呢,而不是做一个文抄君呢!因为目前博主感觉网络上描述的多为应用层上的开发,非从底层开始说明,本博主的思虑还是按照老规矩,按照硬件、底层、应用等关系,使用

    2024年02月07日
    浏览(29)
  • 基于FPGA的UDP协议栈设计第一章_MAC层设计

    前导码 :7个0h55和一个起始界定符SFD,0hD5,不过大部分地方好像是7个0hAA,就是bit顺序相反,不过我用FPGA接收到的上位机的网口数据是55,估计是大小端传输问题吧。 注 :有时候有可能是六个55一个D5 类型 :IP:0X0800。ARP:0X0806 发送模块按部就班按照协议格式进行组帧即可

    2024年04月17日
    浏览(22)
  • 基于FPGA的UDP协议栈设计第七章_RGMII模块设计

    该部分内容主要需要掌握各种IO和时钟相关的原语使用 以太网的通信离不开PHY芯片,PHY芯片实现实现了RGMII接口到网口(RJ45)的转换, RGMII接口就是PHY芯片和FPGA之间的接口。 GMII :GMII(Gigabit Media Independant Interface),千兆MII接口。GMII采用8位接口数据,工作时钟125MHz,因此传

    2024年04月15日
    浏览(69)
  • FPGA纯verilog实现UDP协议栈,sgmii接口SFP光口收发,提供工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但中间的FIFO或者RAM等调用了IP,或者不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的

    2024年02月16日
    浏览(33)
  • FPGA纯verilog实现UDP协议栈,GMII接口驱动88E1111,提供工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但中间的FIFO或者RAM等调用了IP,或者不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的

    2024年02月13日
    浏览(33)
  • FPGA纯verilog实现10G UDP协议栈,XGMII接口UltraScale GTY驱动,提供工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但中间的FIFO或者RAM等调用了IP,或者不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的

    2024年02月14日
    浏览(30)
  • FPGA基于Tri Mode Ethernet MAC实现UDP通信 提供3套工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的问题排查机制都不具备,这样的代

    2024年02月16日
    浏览(35)
  • FPGA基于AXI 1G/2.5G Ethernet Subsystem实现千兆UDP通信 提供工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的问题排查机制都不具备,这样的代

    2024年02月09日
    浏览(31)
  • FPGA纯vhdl实现XGMII接口10G万兆网UDP协议 配合10G Ethernet PCS/PMA使用 提供工程源码和技术支持

    目前网上的fpga实现udp基本生态如下: 1:verilog编写的udp收发器,但不带ping功能,这样的代码功能正常也能用,但不带ping功能基本就是废物,在实际项目中不会用这样的代码,试想,多机互联,出现了问题,你的网卡都不带ping功能,连基本的问题排查机制都不具备,这样的代

    2024年02月09日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包