出租车自动计费器设计Verilog代码vivado Nexys4开发板

这篇具有很好参考价值的文章主要介绍了出租车自动计费器设计Verilog代码vivado Nexys4开发板。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:出租车自动计费器设计Verilog代码vivado  Nexys4开发板(文末获取)

软件:vivado

语言:Verilog

代码功能:

出租车自动计费器设计要求

设计一个出租车自动计费器,具有行车里程计费等候时间计费丶及起价三部分,用四位数码管显示总金额,最大值为999.9元

行车里程单价2元/公里,等候时间单价0.5元/10秒,起价10元(1公里起价)

行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进100米,收费0.2元;用两个数码管显示行驶公里数

本代码已在Nexys4开发板验证,Nexys4开发板如下,其他开发板可以修改管脚适配:

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

1. 工程文件

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

2. 程序文件

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

3. 程序编译

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

4. 程序RTL图

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

5. 管脚约束

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

6. Testbench

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

7. 仿真图

整体仿真

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

wheel_second_pluse模块

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

taxi_state模块

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

Display模块

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

出租车自动计费器设计Verilog代码vivado Nexys4开发板,fpga开发

部分代码展示:

module taxi_fee(
input clk,//标准时钟,100M
input reset,//复位信号,低有效 
input stop,//本次行程结束,停止计费,高有效--按键
input start,//启动信号,行程开始,高有效 --按键
input Speed,//0:等待  1:行驶--开关
output led_wheel,//车轮脉冲指示灯
output [7:0] bit_select,//数码管位选
output [7:0] seg_select//数码管段选
);
wire wheel_pulse;
wire second_pulse;
wire one_kilometre;//0.1公里产生一次
wire [15:0] totel_money;
wire [15:0] mileage;
wire [2:0] state;
//模块例化
//车轮脉冲产生及秒脉冲产生模块
wheel_second_pluse U_wheel_second_pluse(
. clk(clk),//标准时钟,100MHz
. reset(reset),//复位信号,低有效 
. Speed(Speed),//0:等待  1:行驶
. state(state),
. led_wheel(led_wheel),
. wheel_pulse(wheel_pulse), // 车轮脉冲,10个1公里,100米一次
. second_pulse(second_pulse) 10秒脉冲
);
taxi_state U_taxi_state(
. clk(clk),//标准时钟,100M 
. reset(reset),//复位信号,低有效 
. stop(stop),//本次行程结束,停止计费,高有效
. start(start),//启动信号,行程开始,高有效 
. Speed(Speed),//0:等待  1:行驶
. one_kilometre(wheel_pulse),//0.1公里产生一次
. second_pulse(second_pulse),10秒脉冲
. state_out(state),
. mileage_out(mileage),
. totel_money_out(totel_money)//合计费用
);
display U_display(
. clk(clk),//标准时钟,100M 
. totel_money(totel_money),//费用
. mileage(mileage),//里程
. bit_select(bit_select),//数码管位选
. seg_select(seg_select)//数码管段选
);
endmodule
源代码

 扫描文章末尾的公众号二维码文章来源地址https://www.toymoban.com/news/detail-778850.html

到了这里,关于出租车自动计费器设计Verilog代码vivado Nexys4开发板的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Vivado】基于FPGA的出租车计价表设计

    学校FPGA设计结课课设 主要做了出租车计价表,一个比较旧的课题,代码如下: 分模块编程,按照价目表写代码,具体注释见代码。 在module里新加一个 input 变量 key_stage ,用 key_stage 表示不同车流量段,用以计数 在module里新增一个变量 state 来限定是在白天还是夜间

    2024年02月04日
    浏览(44)
  • 0097-基于单片机的出租车计价器仿真设计

    1、采用51/52单片机作为主控芯片; 2、采用1602液晶显示:里程、计价、实时时间、实时单价、本次行程计时; 3、采用DS1302作为时钟芯片; 4、支持切换显示界面、设置日期时间、设置白天单价、设置夜晚单价; 5、支持分别设置3千米内的单价、3千米外的单价、等待时的单价

    2024年02月20日
    浏览(38)
  • 【C51】基于51单片机的出租车计价器设计

    随着我国经济的快速发展,出行选择乘坐出租车的人越来越多。与此同时电子信息技术的发展更新,更加准确、便捷、稳定的出租车计价收费系统随之出现。基于单片机的出租车计价系统的设计,不仅可以更加准确、稳定的反映计价情况,也能促进出租车行业健康稳定的发展

    2024年02月03日
    浏览(42)
  • 出租车计价c语言

    本关任务:根据某城市普通出租车收费标准编写程序对车费进行计算。具体标准如下: 起步里程为3km,起步费为10元;10km以内超起步里程部分,每千米2元,超过10km以上的部分加收50%的回空补贴费,即每千米3元。营运过程中,因堵车及乘客要求临时停车的,按每5分钟2元计收

    2024年02月07日
    浏览(33)
  • Java语言怎么编写一个程序计算出租车的运输费用:出租车起步15公里以内20块钱,需要支付调头费用

    下面是一个Java语言编写的计算出租车运输费用的程序:   ```java import java.util.Scanner;   public class TaxiFareCalculator {     public static void main(String[] args) {         Scanner input = new Scanner(System.in);           System.out.print(\\\"请输入出租车行驶的里程(公里):\\\");         double distance

    2024年02月12日
    浏览(39)
  • 出租车GPS轨迹数据分析

    •使用numpy、pandas等完成“00.csv”文件读取; •剔除无效数据:GPS 采集出租车轨迹数据的过程中,可能因设备问题 导致数据没有采集到,故而在原始轨迹数据集中记录为空值。因此,需 要将每个采样点中有效字段为空值的数据进行剔除,即剔除 DeviceID、 Longitude、Latitude、

    2024年02月04日
    浏览(38)
  • 企业spark案例 —— 出租车轨迹分析(Python)

    头歌的大数据作业,答案没找着,遂自己整了一份 第1关:SparkSql 数据清洗 任务描述 本关任务:将出租车轨迹数据规整化,清洗掉多余的字符串。 相关知识 为了完成本关任务,你需要掌握:1. 如何使用 SparkSQL 读取 CSV 文件,2. 如何使用正则表达式清洗掉多余字符串。 编程要

    2024年02月03日
    浏览(39)
  • LeetCode 2008. 出租车的最大盈利:动态规划 + 哈希表

    力扣题目链接:https://leetcode.cn/problems/maximum-earnings-from-taxi/ 你驾驶出租车行驶在一条有 n  个地点的路上。这 n  个地点从近到远编号为  1  到  n  ,你想要从 1  开到 n  ,通过接乘客订单盈利。你只能沿着编号递增的方向前进,不能改变方向。 乘客信息用一个下标从 0  开

    2024年02月04日
    浏览(36)
  • 仿滴滴打车百度地图定位查找附近出租车或门店信息

    随着技术的发展,开发的复杂度也越来越高,传统开发方式将一个系统做成了整块应用,经常出现的情况就是一个小小的改动或者一个小功能的增加可能会引起整体逻辑的修改,造成牵一发而动全身。通过组件化开发,可以有效实现单独开发,单独维护,而且他们之间可以随

    2024年02月09日
    浏览(47)
  • 【Cocos 3d】从零开始自制3d出租车小游戏

    本文很长,建议收藏食用。 课程来源: 游戏开发教程 | 零基础也可以用18堂课自制一款3D小游戏 | Cocos Creator 3D 中文教程(合集)p1~p6 简介: 资源下载:https://github.com/cocos-creator/tutorial-taxi-game 适合学习人群:本教程假定你对编程有一定的了解,ts,js 学习过其中之一。 如果不

    2024年02月02日
    浏览(42)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包