Xilinx FPGA开发环境vivado使用流程

这篇具有很好参考价值的文章主要介绍了Xilinx FPGA开发环境vivado使用流程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

Xilinx FPGA开发环境vivado使用流程

1.启动vivado

xilinx vivado,FPGA,fpga开发

2.选择Create New Project

xilinx vivado,FPGA,fpga开发

3.指定工程名字和工程存放目录

xilinx vivado,FPGA,fpga开发

4.选择RTL Project

xilinx vivado,FPGA,fpga开发

5.选择FPGA设备

xilinx vivado,FPGA,fpga开发

6.工程创建完成后

xilinx vivado,FPGA,fpga开发

7.开始编写verilog代码

第一步:点击Add Sources按钮
xilinx vivado,FPGA,fpga开发

第二步:选择add or create design sources按钮,即添加设计文件
xilinx vivado,FPGA,fpga开发

第三步:选择create file
xilinx vivado,FPGA,fpga开发

文件新建完成后:
xilinx vivado,FPGA,fpga开发

此时可以定义I/O端口,我们选择自己在程序中编写。
xilinx vivado,FPGA,fpga开发

第四步:在编辑器中编写verilog程序

xilinx vivado,FPGA,fpga开发

8.添加XDC管脚约束文件

XDC文件里主要是完成管脚的约束,时钟的约束,以及组的约束
第一步:新建约束文件
xilinx vivado,FPGA,fpga开发
第二步:创造约束文件
xilinx vivado,FPGA,fpga开发
xilinx vivado,FPGA,fpga开发
第三步:编辑管脚约束文件
xilinx vivado,FPGA,fpga开发
其中,
Set_property PACKAGE_PIN “引脚编号” [get_ports “端口名称”]
Set_property IOSTANDARD “电压” [get_ports “端口名称”]

9.编译

第一步:运行Run Synthesis 综合
第二步:运行Run Implementation 布局布线
第三步:运行Generate Bitstream 生成bit文件

10.下载和调试

运行Hardware Manager。文章来源地址https://www.toymoban.com/news/detail-778891.html

到了这里,关于Xilinx FPGA开发环境vivado使用流程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA_ZYNQ (PS端)开发流程(Xilinx软件工具介绍)

            针对不同的应用领域,Xilinx 公司设计开发了各种逻辑资源规模和集成各 种外设功能的Zynq SOC器件,包括专为成本优化的Zynq-7000平台,面向高性 能实时计算应用领域的 Zynq UltraScale+ MPSoC,面向射频通信的 ZynqUltraScale+ RFSoC,以及具备高度可扩展特性的自适应加速平台

    2024年01月16日
    浏览(50)
  • FPGA时钟资源与设计方法——Xilinx(Vivado)

    1.时钟资源包括:时钟布线、时钟缓冲器(BUFGBUFRBUFIO)、时钟管理器(MMCM/PLL)。 2.时钟类型有三种:全局时钟,可以驱动整个内核上的同步逻辑;局部时钟,可以驱动特定和相邻区域的逻辑;IO时钟,可以驱动某个IO的特定逻辑。 3.混合模式时钟管理器(MMCM)和数字时钟管理

    2024年02月22日
    浏览(37)
  • 【FPGA】Xilinx vivado生成.dcp文件的方法

    DCP文件是vivado软件生成的网表文件,主要起到加密的作用,在不需要提供源代码的情况下运行工程。 首先,需要新建工程,工程顶层文件就是生成后dcp文件的名称,然后在vivado-Tool-setting-project-setting-synthesis路径下,在More options中输入-mode out_of_context(综合时不产生IO buffer),

    2024年04月12日
    浏览(33)
  • 【FPGA】 xilinx vivado中AXI4通信协议详解

    AXI是ARM 1996年提出的微控制器总线家族AMBA中的一部分。AXI的第一个版本出现在AMBA3.0,发布于2003年。当前的最新的版本发布于2010年。AXI 4总线和别的总线一样,都用来传输bits信息 (包含了数据或者地址) 。AXI4总线有三种类型,分别是AXI4、AXI4-Lite、AXI4-Stream AXI4:主要面向高性能

    2024年04月28日
    浏览(29)
  • 【FPGA】xilinx的开发软件vitis使用简介

           Xilinx的开发软件Vitis是一款全新的开发工具套件,它支持多种编程语言如C++、OpenCL、Python等,以及多种硬件平台,包括Xilinx的FPGA和ACAP(Adaptive Compute Acceleration Platform)。这使得它具有极高的灵活性,可以应对不同类型的开发需求,包括数据中心、云端和边缘智能应用

    2024年02月04日
    浏览(35)
  • xilinx FPGA 除法器ip核(divider)的学习和仿真(Vivado)

    在设计中,经常出现除法运算, 实现方法 : 1、移位操作 2、取模取余 3、调用除法器IP核 4、查找表 简单学习除法器IP。 网上很多IP翻译文档,不详细介绍,记录几个重要的点: 1、三种算法模式(不同模式所消耗的资源类型不同) 2、分清除数和被除数;余数模式的选择 3、延

    2024年04月28日
    浏览(56)
  • XILINX FPGA K7配置启动流程(官方手册整理)

         1.在配置过程中,7系芯片需要的电压有,Vcco0,Vccaux,Vccbram和Vccint。       所有的Jtag配置引脚在一个独立的专用bank上,使用的电源也是专用电源Vcco0。多功能pin在14和15bank。bank0,14和15上的专用输入输出引脚使用Vcco0,Vcco14,Vcco15的LVCMOS电平,电平需要匹配,输出引脚

    2023年04月22日
    浏览(32)
  • Xilinx FPGA bit文件和MCS下载流程

    1 安装完iMPACT, 点击图标打开 2 打开后界面如下,双击左上角选项Boundary Scan,右边会弹出空白区域 3 右边空白区域右击然后选择Initialize Chain,软件会自动加载已上电且下载线已连接到电脑的xilinx FPGA器件 4 鼠标放到1图标右击选择2 Assign New ... ,可选着bit文件下载 5 鼠标放到图标

    2024年02月16日
    浏览(34)
  • Xilinx FPGA 开发软件:让 FPGA 开发更加高效

    Xilinx FPGA 开发软件:让 FPGA 开发更加高效 FPGA(Field Programmable Gate Array)是一种硬件设计语言,可以用来构建可重构的数字电路。在 FPGA 的开发过程中,Xilinx FPGA 开发软件是必不可少的工具之一。它不仅可以简化 FPGA 的设计流程,而且还可以提高设计的效率。 Xilinx FPGA 开发软

    2024年02月04日
    浏览(36)
  • xilinx FPGA 板子vivado无法识别 Labtoolstcl 44-27] No hardware targets exist on the server [localhost:3121]

    1.我之前用的是miniB -USB 的线, 然后先要检查驱动问题,打开 设备管理器 查看,应该是 如果端口中没有就是在其他设备中,此时需要去 下载XCP的驱动 ,或者去搜索一下你的USB线的驱动,但是即使这个识别了vivado里面还是No hardware targets exist on the server [localhost:3121] 2.这个时候

    2024年02月03日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包