数字信号处理-11-FPGA FFT IP应用实例

这篇具有很好参考价值的文章主要介绍了数字信号处理-11-FPGA FFT IP应用实例。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

前言

本文根据FFT相关原理进行设计构建工程,仿造前文的工程构建的混频功能的工程,设计工程显示该混频信号的功率谱,然后进行仿真分析。

FFT仿真与分析

本文不再针对FFT的原理进行过多赘述,提供一份简单的matlab仿真代码。根据仿真简述下FFT的相关使用注意事项。

clc;clear all;
fs=50e6;%采样率
N=1024;%采样点数
t=[0:N-1]/fs; %时间序列
f1=3e6;%频点1 3MHZ
f2=4e6;%频点2 4MHZ
s1=sin(2*pi*f1*t);%信号1
s2=sin(2*pi*f2*t);%信号2
mixsign=s1.*s2;%混频
fftsign=fft(mixsign);%求fft
fftabs=abs(fftsign);%取模运算
plot(fftabs);

代码设计,模拟生成了两个不同频率的信号3MHz和4MHz,模拟采样了1024,将两个信号进行混频后则产生了7MHz和1MHz的信号。然后通过FFT函数,取模运算,求得FFT的幅度谱,然后进行显示输出。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

频率分辨率

频率分辨率是FFT的一个重要的参数,横坐标每一个单位的频率精度等于 fs/N,N 是 FFT 的点数。即求出该仿真情况下的频率分辨率如下:
50 M H z 1024   = 48828.125 H z \frac {50MHz}{1024}\ = 48828.125Hz 102450MHz =48828.125Hz
将仿真输出的图片放大,并标注坐标,可见,第一个峰值的横坐标为21,第二个峰值的横坐标为144,计算可知,第一个峰值对应的频率为1.0254MHz,第二个峰值对应的频率为1.0254MHz,7.0313MHz。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

可见实际FFT出来后的结果,和仿真设置的相差了一点,但是基本上是在设置的附近,这是因为频率分辨率不够,48828.125Hz的分辨率不能恰好对应到设置的1MHz和7MHz。

如果想恰好得到1MHz和7MHz的FFT的处理结果,或者想进一步减小误差,则需要进行相干采样,频率分辨率恰好是所求的频率的倍数。

关于频谱泄露

频率分辨率欠佳后,就会造成频谱泄露。

当信号X(t)的频率f0是fs/N的整数倍时,这说明在处理长度NT内有信号的K个整周期。这时由X(t)构成的以NT为周期的周期性信号是连续的。当信号X(t)的频率f0不是fs/N的整数倍时,则在NT的处理长度内,就不是恰好为信号周期的整数倍,有X(t)以NT为周期进行周期延拓所得到的周期性信号就出现了不连续点,造成了频谱分量从其正常频谱扩展开来,就这样形成了频谱泄露现象。

整周期截断,不会造成频谱泄露;非整周期截断,必然造成频谱泄露。

前面提到的相干采样,正式因为进行了整数周期的截断才使得频谱不进行泄露,并且FFT后的信号尖峰也恰好能对应我们设置的预期的频率。

使用FFT IP进行工程设计

可以将DDS应用实例的工程进行复制备份,然后添加相关 IP,进行工程适配。

实现功率谱逻辑

FFT 的原理是可以通过实部和虚部的数据恢复出周期信号的相位和幅值; 假如 a 是实部数据, b 是虚部数据, a+bj 是复数;对应的模运算是=sqrt(a2+b2),FFT处理后取模运算中的开更号在FPGA中实现比较麻烦,可以利用自带的cordic IP去处理,这里可以简化一下求FFT处理后的功率谱,也即(a2+b2)。

因此在调用FFT函数后,将输出的数据的实部虚部进行平方再相加即可得到FFT处理后的功率谱。

添加FFT IP

在配置界面可配置FFT的通道个数,傅里叶变换的长度,该结构的时钟,以及采用的算法架构。改变通道个数为N后,对应的数据位宽会变成一个通道的N倍。这里设置 IP 核 1024 点 FFT, 采样率 50MHz, 选择基 2 突发结构。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

数据格式选择定点数类型,放缩设置为块浮点模式, 输出 FFT 结果选择顺序输出。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

在侧边栏可以看到IP的接口状态,以及具体实现架构的相关细节,从实现细节界面可看到,在CONFIG接口处的数据位,有一个FWD_INV的配置参数,该参数是配置正变换还是反变换,因为 FFT 的计算正变换和反变换可以用一套算法实现。 这里 FWD_INV=1 为正变换, 为 0 是反变换。

这里看到 CHAN_0_XN_IM_0(31:16)是复数的虚部数据,并且使用的是 fix16_15 定点数, 意思是最高位为符号位, 小数部分有15位。CHAN_0_XN_RE_0(15:0)是复数的实部数据;这里的FFT混频信号只提供了实部的信号,因此,在信号连接时,只需要把低 16 位赋值为乘法器输出值, 而高 16 位赋值为 0即可。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

从侧边栏还可以对FFT进行延时分析,从图中可知,该架构的FFT变化需要146.820us才能完成。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

添加DDS IP

添加DDS IP,配置输出两路信号分别为3MHz和4MHz。匹配FFT的IP采样频率的50MHz,修改SFDR为45。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

配置完成基本信息配置下一页,基本保持默认即可,这里只想查看波形,所以相位输出就关闭。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

DDS的IP核多通道之间是分时复用的,所以在细节实现配置界面最好使能通道ID以供进行区别单个通道的信号波形。其余可以保持默认。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

配置输出频率为3MHz和4MHz。其余保持默认,点击OK,完成配置。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

修改乘法器IP

将乘法器适配当前的数据位宽,并保存设置。该乘法器用于实现混频乘法。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

调用第二个乘法器,配置输入位宽为16位,输出为32位,有符号类型。该乘法器用于实现FFT处理后的功率谱逻辑。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

编写.V文件

根据上面的逻辑结构,例化IP、编写代码依次实现DDS的信号产生、混频、FFT处理、以及功率谱运算逻辑。

`timescale 1ns / 1ps
module top(
    input clk
    );

    wire          m_axis_data_tvalid_ch3;
    wire [7 : 0]  m_axis_data_tdata_ch3;
    wire [0 : 0]  m_axis_data_tuser_ch3;

    //多通道测试
    dds_compiler_1 multi_ch_dds(
    .aclk(clk),                                // input wire aclk
    .m_axis_data_tvalid(m_axis_data_tvalid_ch3),    // output wire m_axis_data_tvalid
    .m_axis_data_tdata(m_axis_data_tdata_ch3),      // output wire [7 : 0] m_axis_data_tdata
    .m_axis_data_tuser(m_axis_data_tuser_ch3)
    );

    reg [7 : 0] data3MHz;
    reg [7 : 0] data4MHz;
    always @(posedge clk) begin
        case(m_axis_data_tuser_ch3)
            0:data3MHz<=m_axis_data_tdata_ch3;
            1:data4MHz<=m_axis_data_tdata_ch3;
        endcase
     end

    //混频测试
    wire [15 : 0]  mixer_singal;
    mult_gen_0 mult_mixer (
        .CLK(clk),  // input wire CLK
        .A(data3MHz),      // input wire [7 : 0] A
        .B(data4MHz),      // input wire [7 : 0] B
        .P(mixer_singal)      // output wire [15 : 0] P
    );

    reg div_clk=0;
    always @(posedge clk ) begin
        div_clk<=!div_clk;
    end

    wire mixer_singal_tready;
    wire [31 : 0] after_fft_data;
    wire [7 : 0] m_axis_data_tuser;
    wire m_axis_data_tvalid;

    xfft_0 uut_fft(
    .aclk(div_clk),                                                // input wire aclk
    .s_axis_config_tdata('d1),                  // input wire [7 : 0] s_axis_config_tdata
    .s_axis_config_tvalid(1),                // input wire s_axis_config_tvalid
    .s_axis_config_tready(),                // output wire s_axis_config_tready
    .s_axis_data_tdata({16'd0,mixer_singal}),                      // input wire [31 : 0] s_axis_data_tdata
    .s_axis_data_tvalid(1),                    // input wire s_axis_data_tvalid
    .s_axis_data_tready(mixer_singal_tready),                    // output wire s_axis_data_tready
    .s_axis_data_tlast(0),                      // input wire s_axis_data_tlast
    .m_axis_data_tdata(after_fft_data),                      // output wire [31 : 0] m_axis_data_tdata
    .m_axis_data_tuser(m_axis_data_tuser),                      // output wire [7 : 0] m_axis_data_tuser
    .m_axis_data_tvalid(m_axis_data_tvalid),                    // output wire m_axis_data_tvalid
    .m_axis_data_tready(1),                    // input wire m_axis_data_tready
    .m_axis_data_tlast(),                      // output wire m_axis_data_tlast
    .m_axis_status_tdata(),                  // output wire [7 : 0] m_axis_status_tdata
    .m_axis_status_tvalid(),                // output wire m_axis_status_tvalid
    .m_axis_status_tready(1),                // input wire m_axis_status_tready
    .event_frame_started(),                  // output wire event_frame_started
    .event_tlast_unexpected(),            // output wire event_tlast_unexpected
    .event_tlast_missing(),                  // output wire event_tlast_missing
    .event_status_channel_halt(),      // output wire event_status_channel_halt
    .event_data_in_channel_halt(),    // output wire event_data_in_channel_halt
    .event_data_out_channel_halt()  // output wire event_data_out_channel_halt
    );
    
    wire [31 : 0] fft_re_2;
    mult_gen_1 mult_re (
        .CLK(div_clk),  // input wire CLK
        .A(after_fft_data[15:0]),      // input wire [15 : 0] A
        .B(after_fft_data[15:0]),      // input wire [15 : 0] B
        .P(fft_re_2)      // output wire [31 : 0] P
    );

    wire [31 : 0] fft_im_2;
    mult_gen_1 mult_im (
        .CLK(div_clk),  // input wire CLK
        .A(after_fft_data[31:16]),      // input wire [15 : 0] A
        .B(after_fft_data[31:16]),      // input wire [15 : 0] B
        .P(fft_im_2)      // output wire [31 : 0] P
    );

    wire [32 : 0] sum = fft_re_2 + fft_im_2;

endmodule

运行仿真测试

这里仿真只需要给一个时钟源即可,编写仿真代码实现100MHz的时钟。运行仿真将相关信号添加到波形窗口中,观察信号。这里的sum为做完FFT处理后,实现功率谱逻辑的信号。将波形转换成模拟形式后可看出波形和matlab的代码仿真类似,然后确定下横坐标是否为21和144,即对应信号频率是否为1MHz和7MHz。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

从下图可看出,从FFT处理输出的第一个信号到输出第一个峰值花费了420ns,FFT的配置频率和工作频率是50MHz,也就是周期就是20ns,恰好对应了第21个点,和仿真结果一致。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab

第二个峰值距离FFT输出第一个数据的时间花费了2880ns,换算下来也就是144个时钟周期,和仿真结果一致。

fpga fft程序,# 数字信号处理FPGA实现,信号处理,数字信号处理,fpga开发,matlab文章来源地址https://www.toymoban.com/news/detail-781328.html

到了这里,关于数字信号处理-11-FPGA FFT IP应用实例的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA与LVDS:数字信号处理的完美组合

    FPGA与LVDS:数字信号处理的完美组合 FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,具有高度灵活性和可重构性,可实现各种数字逻辑和处理功能。而LVDS(Low-Voltage Differential Signaling)则是一种用于高速数据传输的技术,特点是传输距离长、抗干扰能力强、带宽大。

    2024年01月23日
    浏览(35)
  • FPGA 的数字信号处理:重写 FIR 逻辑以满足时序要求

    在上一篇文章中(FPGA 的数字信号处理:Verilog 实现简单的 FIR 滤波器)演示了在 Verilog 中编写自定义 FIR 模块的初始demo。该项目在行为仿真中正常,但在布局和布线时未能满足时序要求。 所以今天的文章让我们来看看当设计不能满足时序要求时如何分析并解决它。 当在目标

    2024年02月09日
    浏览(29)
  • 数字信号处理-10-并行FIR滤波器MATLAB与FPGA实现

    本文介绍了设计滤波器的FPGA实现步骤,并结合杜勇老师的书籍中的并行FIR滤波器部分进行一步步实现硬件设计,对书中的架构做了复现以及解读,并进行了仿真验证。 FIR滤波器的结构形式时,介绍了直接型、级联型、频率取样型和快速卷积型4种。在FPGA实现时,最常用的是最

    2023年04月09日
    浏览(35)
  • FPGA 的数字信号处理:Verilog 实现简单的 FIR 滤波器

    该项目介绍了如何使用 Verilog 实现具有预生成系数的简单 FIR 滤波器。 不起眼的 FIR 滤波器是 FPGA 数字信号处理中最基本的模块之一,因此了解如何将具有给定抽头数及其相应系数值的基本模块组合在一起非常重要。因此,在这个关于 FPGA 上 DSP 基础实用入门的教程中,将从一

    2024年02月09日
    浏览(36)
  • 数字信号处理第六次试验:数字信号处理在双音多频拨号系统中的应用

    为了帮助同学们完成痛苦的实验课程设计,本作者将其作出的实验结果及代码贴至CSDN中,供同学们学习参考。如有不足或描述不完善之处,敬请各位指出,欢迎各位的斧正! 通过对双音多频拨号系统的分析与仿真实验,了解双音多频信号的产生、检测,包括对双音多频信号

    2024年02月09日
    浏览(35)
  • 数字信号处理实验:数字滤波器的设计与应用

    一. 实验目的         1.掌握模拟滤波器的设计方法,以及脉冲响应不变法和双线性变换法设计IIR数字滤波 器的方法,针对实际信号能设计相应的 IIR 数字滤波器,并按要求进行滤波。         2.掌握用窗函数法设计FIR数字滤波器的方法,并通过实验了解各种窗函数对滤

    2024年02月03日
    浏览(37)
  • Matlab信号处理3:fft(快速傅里叶变换)标准使用方式

    运行效果:

    2024年02月09日
    浏览(26)
  • 数字信号处理、语音信号处理、现代信号处理

    推荐他的博客: 手撕《数字信号处理》——通俗易懂的数字信号处理章节详解集合 手撕《语音信号处理》——通俗易懂的语音信号处理章节详解集合 手撕《现代信号处理》——通俗易懂的现代信号处理章节详解集合

    2024年02月08日
    浏览(49)
  • 数字信号处理8:利用Python进行数字信号处理基础

    我前两天买了本MATLAB信号处理,但是很无语,感觉自己对MATLAB的语法很陌生,看了半天也觉得自己写不出来,所以就对着MATLAB自己去写用Python进行的数字信号处理基础,我写了两天左右,基本上把matlab书上的代码全部用Python实现了,所以,今天贴的代码和图有些多, 要用到的

    2024年02月13日
    浏览(25)
  • FPGA 音频信号处理

    第八届集创赛杯赛题目——紫光同创杯 - 全国大学生集成电路创新创业大赛 题目任务要求:采集信号、降噪、识别、视频展示。 硬件:麦克风、扬声器、FPGA(盘古50)、HDMI显示器 软件:紫光同创PDS(用于部署)、anaconda、jupyter(用于部署训练网络) 1.麦克风采集好数据经过

    2024年03月14日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包