VHDL实现数字频率计的设计

这篇具有很好参考价值的文章主要介绍了VHDL实现数字频率计的设计。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、设计要求

当设计文件加载到目标器件后,拨动开关的K1,使其置为高电平,从输入输出观测模块的输入端输入一个频率大于1Hz的时钟信号,这时在数码管上显示这个时钟信号的频率值。如果使拨动开关置为低电平,数码管上显示的值为系统上的数字信号源的时钟频率。改变数字信号源的时钟,看显示的值是否与标值一致(数码管显示2s刷新一次)。

二、设计原理

测频实现框图如下图所示
vhdl数字频率计,VHDL,算法,硬件工程

所以我们可以通过设计六个模块,最终在一个原理图文件中连接,实现测频

文件名称 完成功能
CLKOUT.VHD 产生1Hz的闸门信号和1KHz的显示扫描信号
MUX.VHD 被测信号源选择模块
TELTCL.VHD 在时钟的作用下生成测频的控制信号
CNT10.VHD 十进制计数器,在设计中使用8个来进行计数
SEG32B.VHD 32位的锁存器,在锁存器控制信号的作用下,将计数的值锁存
DISPLAY.VHD 显示译码,将锁存的数据显示出来

控制信号时序关系如下图所示
vhdl数字频率计,VHDL,算法,硬件工程

三、代码实现

1.CLKOUT.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CLKOUT IS
PORT(CLK:IN STD_LOGIC;
	 CLKOUT1:OUT STD_LOGIC;
	 CLKOUT1K:OUT STD_LOGIC);
END CLKOUT;
ARCHITECTURE BHV OF CLKOUT IS
BEGIN
	PROCESS(CLK)
	VARIABLE COUNT1:STD_LOGIC_VECTOR(25 DOWNTO 0);
	VARIABLE COUNT2:STD_LOGIC_VECTOR(15 DOWNTO 0);
	
	VARIABLE Q1:STD_LOGIC;
	VARIABLE Q2:STD_LOGIC;
	BEGIN
		IF CLK'EVENT AND CLK = '1' THEN
			COUNT1:=COUNT1+1;		--分频计数器1
			COUNT2:=COUNT2+1;		--分频计数器2
			
			--这部分将50MHz频率分频得到1Hz方波
			IF COUNT1="01011111010111100001000000" THEN		--0.5s	
						--仿真时可以改成这条,因为电脑屏幕显示有限"00000000000000000000000010"
				Q1:='1';
			ELSIF COUNT1="10111110101111000010000000" THEN	--1s	
						--仿真时可以改成这条,因为电脑屏幕显示有限"00000000000000000000000100"
				Q1:='0';
				COUNT1:="00000000000000000000000000";
			END IF;
			
			--这部分将50MHz频率分频得到1kHz方波
			IF COUNT2="0110000110101000" THEN		--0.5ms
				--同上"0000000000000001"
				Q2:='1';
			ELSIF COUNT2="110000110101000" THEN	 	--1.0ms
				--同上"0000000000000010" 
				Q2:='0';
				COUNT2:="0000000000000000";
			END IF;
			
			CLKOUT1 <=Q1;	--输出1Hz方波
			CLKOUT1K<=Q2;	--输出1kHz方波
		END IF;
	END PROCESS;
END BHV;

仿真结果如下图所示
vhdl数字频率计,VHDL,算法,硬件工程
通过修改分频计数器的值可以得到不同频率的方波

2.MUX.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY MUX IS
PORT(	SEL:IN STD_LOGIC;
		CLKIN1:IN STD_LOGIC;
		CLKIN2:IN STD_LOGIC;
		CLKOUT:OUT STD_LOGIC);
END MUX;
ARCHITECTURE BHV OF MUX IS
BEGIN
	PROCESS(SEL,CLKIN1,CLKIN2)
	VARIABLE FLAG:STD_LOGIC;
	BEGIN
		IF SEL = '1'THEN
			FLAG := '1';
		ELSIF SEL = '0'THEN
			FLAG := '0';
		END IF;
		IF FLAG = '1' THEN		--当开关拨动置1时
			CLKOUT<=CLKIN1;		--输出波形1
		ELSIF FLAG = '0' THEN	--当开关拨动置1时
			CLKOUT<=CLKIN2;		--输出波形2
		END IF;
	END PROCESS;
END BHV;

仿真结果仿真结果如下图所示
vhdl数字频率计,VHDL,算法,硬件工程
开关置1时,输出CLKIN1的波形,开关置0时,输出CLKIN2的波形

3.TELTCL.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY TELTCL IS
PORT(CLK:IN STD_LOGIC;
	  EN:OUT STD_LOGIC;
	  CLR:OUT STD_LOGIC;
	  	 Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
	 LOAD:OUT STD_LOGIC);
END TELTCL;
ARCHITECTURE BHV OF TELTCL IS
BEGIN
	PROCESS(CLK)
	VARIABLE T1:STD_LOGIC_VECTOR(1 DOWNTO 0);
	VARIABLE T2:STD_LOGIC_VECTOR(1 DOWNTO 0);
	VARIABLE TT:STD_LOGIC_VECTOR(3 DOWNTO 0);	
	VARIABLE CEN:STD_LOGIC;
	VARIABLE CCLR:STD_LOGIC;
	VARIABLE CLOAD:STD_LOGIC;
	BEGIN
		IF CLK'EVENT AND CLK = '0' THEN
			T1 := T1+1;
		ELSIF CLK'EVENT AND CLK = '1' THEN
			T2 := T2+1;
		END IF;
		
		--允许计数控制信号
		IF (T1 = "01") OR (T1 = "11") THEN
			CEN:='1';
		ELSIF (T1 = "00") OR (T1 = "10") THEN
			CEN:='0';
		END IF;
		
		--清零控制信号
		TT := T1&T2;
		IF TT = ("0001" OR "1011") THEN	--"0000" "1010"
			CCLR := '1';
		ELSE
			CCLR := '0';
		END IF;
		
		--锁存控制信号
		IF CEN = '1' THEN
			CLOAD := '0';
		ELSIF CEN = '0' THEN
			CLOAD := '1';
		END IF;
		
		--最终赋值输出
		EN<=CEN;
		CLR<=CCLR;
		LOAD<=CLOAD;
		Q<=TT;
	END PROCESS;
END BHV;

仿真结果仿真结果如下图所示
vhdl数字频率计,VHDL,算法,硬件工程
完美波形

4.CNT10.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CNT10 IS
PORT(CLK:IN STD_LOGIC;
		EN:IN STD_LOGIC;
	  CLR:IN STD_LOGIC;
	 LOAD:OUT STD_LOGIC;
		 Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END CNT10;
ARCHITECTURE BHV OF CNT10 IS
BEGIN
	PROCESS(CLK,EN,CLK)
	VARIABLE COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE CLOAD:STD_LOGIC;
	BEGIN
		IF EN = '1' THEN
			IF CLK'EVENT AND CLK = '1'THEN
				COUNT := COUNT + 1;		--每个时钟上升沿计数器+1
				IF COUNT = "1010" THEN	--当计数器值为10时清零
					COUNT := "0000";
					CLOAD := '1';			--LOAD输出一个高电平
				ELSE
					CLOAD := '0';
				END IF;
				IF CLR = '1' THEN			--当清零控制信号为高电平时清零
					COUNT := "0000";
				END IF;
			END IF;
			LOAD <= CLOAD;
			Q <= COUNT;
		END IF;
	END PROCESS;
END BHV;

仿真结果如图所示
vhdl数字频率计,VHDL,算法,硬件工程

5.SEG32B.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY SEG32B IS
PORT(CLK:IN STD_LOGIC;
		H1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H2:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H3:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H4:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H5:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H6:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H7:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		H8:IN STD_LOGIC_VECTOR(3 DOWNTO 0);
		X:OUT STD_LOGIC_VECTOR(31 DOWNTO 0));
END SEG32B;
ARCHITECTURE BHV OF SEG32B IS
BEGIN
	PROCESS(CLK)
	VARIABLE T1:STD_LOGIC_VECTOR(1 DOWNTO 0);
	VARIABLE T2:STD_LOGIC_VECTOR(1 DOWNTO 0);
	VARIABLE TT:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE CX:STD_LOGIC_VECTOR(31 DOWNTO 0);
	BEGIN
	--因为我们需要高电平这一段,所以需要判断上升沿和下降沿
		IF CLK'EVENT AND CLK = '0' THEN
			T1 := T1+1;
		ELSIF CLK'EVENT AND CLK = '1' THEN
			T2 := T2+1;
		END IF;
		TT := T1&T2;
		--判断是否是高电平期间
		IF TT = ("0001" OR "1011" OR "0000" OR "1010") THEN
			CX:=CX;									--高电平锁存
		ELSE
			CX:=H8&H7&H6&H5&H4&H3&H2&H1;		--低电平获取输入值
		END IF;
		X <= CX;
	END PROCESS;
END BHV;	

仿真结果如图所示
vhdl数字频率计,VHDL,算法,硬件工程
高电平期间锁存,低电平期间获取值

6.DISPLAY.VHD

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY DISPLAY IS
PORT(CLK:IN STD_LOGIC;
		 P:IN STD_LOGIC_VECTOR(31 DOWNTO 0);
	SEGS7:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
	  SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));
END DISPLAY;
ARCHITECTURE BHV OF DISPLAY IS
BEGIN
	PROCESS(CLK)
	VARIABLE QT:STD_LOGIC_VECTOR(31 DOWNTO 0);
	VARIABLE Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q2:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q3:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q4:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q5:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q6:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q7:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE Q8:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE  K:STD_LOGIC_VECTOR(3 DOWNTO 0);
	VARIABLE COUNT:STD_LOGIC_VECTOR(2 DOWNTO 0);
	BEGIN
		IF CLK'EVENT AND CLK = '1' THEN
			COUNT := COUNT + 1;
			QT:=P;
			Q8:=QT(31 DOWNTO 28);Q7:=QT(27 DOWNTO 24);
			Q6:=QT(23 DOWNTO 20);Q5:=QT(19 DOWNTO 16);
			Q4:=QT(15 DOWNTO 12);Q3:=QT(11 DOWNTO 8);
			Q2:=QT(7 DOWNTO 4);Q1:=QT(3 DOWNTO 0);
			SEL <= COUNT;
			CASE COUNT IS
				WHEN "000" => K := Q8;
				WHEN "001" => K := Q7;
				WHEN "010" => K := Q6;
				WHEN "011" => K := Q5;
				WHEN "100" => K := Q4;
				WHEN "101" => K := Q3;
				WHEN "110" => K := Q2;
				WHEN "111" => K := Q1;
				WHEN OTHERS =>NULL;
			END CASE;
			
			CASE K IS
				WHEN "0000" =>SEGS7 <= "00111111"; --0
				WHEN "0001" =>SEGS7 <= "00000110"; --1
				WHEN "0010" =>SEGS7 <= "01011011"; --2
				WHEN "0011" =>SEGS7 <= "01001111"; --3
				WHEN "0100" =>SEGS7 <= "01100110"; --4
				WHEN "0101" =>SEGS7 <= "01101101"; --5
				WHEN "0110" =>SEGS7 <= "01111101"; --6
				WHEN "0111" =>SEGS7 <= "00000111"; --7
				WHEN "1000" =>SEGS7 <= "01111111"; --8
				WHEN "1001" =>SEGS7 <= "01101111"; --9
				WHEN "1010" =>SEGS7 <= "01110111"; --A
				WHEN "1011" =>SEGS7 <= "01111100"; --B
				WHEN "1100" =>SEGS7 <= "00111001"; --C
				WHEN "1101" =>SEGS7 <= "01011110"; --D
				WHEN "1110" =>SEGS7 <= "01111001"; --E
				WHEN "1111" =>SEGS7 <= "01110001"; --F
				WHEN OTHERS => SEGS7 <= "00000000";
			END CASE;
		END IF;
	END PROCESS;
END BHV;

仿真结果如图所示
vhdl数字频率计,VHDL,算法,硬件工程
数码管位选循环点亮八个数码管,达到视觉暂留,相当于八个数码管同时显示;

四、综合与仿真结果

参照教程完成六个VHD文件的转换成模块符号文件再将各个模块按下图所示方式连接
vhdl数字频率计,VHDL,算法,硬件工程
编译后没有错误即可,新建仿真页,参数仿照下图设置
vhdl数字频率计,VHDL,算法,硬件工程
开始仿真
vhdl数字频率计,VHDL,算法,硬件工程
由于条件有限,没有设备进行调试,所以仿真设置的分频计数器较小。这里只要数码管能正常显示和移位即表明程序实验成功,若烧录与FPAG芯片中些许问题可以对某些数据调参,以达到最优效果。如果还有其他问题欢迎私聊我。文章来源地址https://www.toymoban.com/news/detail-781548.html

到了这里,关于VHDL实现数字频率计的设计的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 数字频率计

      电子技术应用实习 目录 1  实习目的、内容和要求 1 1.1 实习目的 1 1.2 实习内容 1 1.3 实习要求 1         1.3.1设计要求..................................................................................................................1 1.3.2实习任务要求 2 2  设计原理及软件简介 3 2.1设计原理 3 2.2M

    2023年04月18日
    浏览(15)
  • 【FPGA & Modsim】数字频率计

    module flag(clk,rst_n,cnt); input clk; input rst_n; output [2:0]cnt ; reg[31:0]count ; reg [2:0]cnt; always@(posedge clk or negedge rst_n) begin if(~rst_n)begin count=0 ; cnt=3\\\'d0 ;end else if (count= 32\\\'d24 999) begin cnt=cnt+1\\\'b1 ;count=32\\\'d0 ; end else if (cnt=3\\\'d6) begin cnt=0;end else count=count+1\\\'b1 ; end endmodule \\\'timescale 1 ns/ 1ps / module seg (

    2024年01月16日
    浏览(20)
  • 数字频率计Verilog代码Quartus DE1-SoC开发板

    名称:数字频率计Verilog代码Quartus  DE1-SoC开发板(文末获取) 软件:Quartus 语言:Verilog 代码功能: 数字频率计    采用一个标准的基准时钟,在1s里对被测信号的脉冲数进行计数,即为信号频率利用等精度测量法可以测量1hz至99999999Hz信号频率 七段码管显示测量值 本代码已在

    2024年02月03日
    浏览(23)
  • 36、基于51单片机频率计 LCD 1602显示系统设计

    数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域,还被应用在计算机及各种数学仪表中。一般采用的是十进制数字,显示被测信号频率。基本功能是测量正弦信号,方波信号以及其他各种单位时间内变坏的物理量。由于其使用十进制数显示,测量

    2024年02月03日
    浏览(21)
  • 孩子都能学会的FPGA:第二十五课——用FPGA实现频率计

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月02日
    浏览(19)
  • 基于FPGA的频率计

    好久没更了,百忙之中写一篇so easy的代码——基于FPGA的频率计设计。 废话不多说,下面是百度搜索关于频率计的简洁概念。 数字频率计是一种基本的测量仪器,被广泛应用于航天、电子、测控等领域。基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,

    2024年02月12日
    浏览(17)
  • FPGA—简易频率计(附代码)

    目录 1. 内容概要 2. 理论学习 3. 实操 3.1 整体设计 3.2 频率计算模块 3.2.1 模块框图 3.2.2  波形图绘制 3.2.3  RTL代码 3.3 顶层模块 3.4 仿真验证 3.5 上板验证 4. 总结        频率测量在电子设计领域和测量领域经常被使用,本文讲解 等精度测量法 的原理和实现方法,使用FPGA 设

    2024年02月09日
    浏览(18)
  • 基于51单片机的频率计

    前言:设计一个能产生固定频率的电路,然后经过单片机处理后显示该固定频率的系统。 1、指标以及功能要求 指标:该系统要能够产生一个31KHz的方波,进过单片机脉冲采集后能够在液晶上显示出该频率。要求:所用的知识要涉及到模拟电路知识和数字电路知识。 2、设计分

    2024年02月09日
    浏览(24)
  • 多功能频率计周期/脉宽/占空比/频率测量verilog,视频/代码

    名称:多功能频率计周期、脉宽、占空比、频率测量verilog 软件:Quartus 语言:Verilog 代码功能:    多功能频率计,可测量信号的周期、脉冲宽度、占空比、频率,语言为verilog,quartus软件设计仿真 代码下载: 多功能频率计周期、脉宽、占空比、频率测量verilog_Verilog/VHDL资源

    2024年02月06日
    浏览(20)
  • 【单片机】STM32单片机频率计程序,外部脉冲计数程序,基于脉冲计数的频率计程序,STM32F103

    两种方法用于在单片机中实现频率计的功能。 第一种方法是通过定时器来衡量信号的周期,然后将周期转换为频率。在这种方法中,你可以使用单片机的定时器模块来测量输入信号的周期,定时器会产生一个计数值,你可以根据这个计数值来推算出输入信号的周期,并通过简

    2024年02月11日
    浏览(23)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包