QuartusDDS信号发生器Verilog代码仿真

这篇具有很好参考价值的文章主要介绍了QuartusDDS信号发生器Verilog代码仿真。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:QuartusDDS信号发生器Verilog代码仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

DDS信号发生器

可以输出正弦波、方波、三角波

可以改变波形的频率

1. 工程文件

QuartusDDS信号发生器Verilog代码仿真,fpga开发

2. 程序文件

QuartusDDS信号发生器Verilog代码仿真,fpga开发

3. 程序编译

QuartusDDS信号发生器Verilog代码仿真,fpga开发

4. RTL图

QuartusDDS信号发生器Verilog代码仿真,fpga开发

5. Testbench

QuartusDDS信号发生器Verilog代码仿真,fpga开发

6. 仿真图

整体仿真图

QuartusDDS信号发生器Verilog代码仿真,fpga开发

QuartusDDS信号发生器Verilog代码仿真,fpga开发

方波ROM模块

QuartusDDS信号发生器Verilog代码仿真,fpga开发

三角波ROM模块

QuartusDDS信号发生器Verilog代码仿真,fpga开发

Sin波ROM模块

QuartusDDS信号发生器Verilog代码仿真,fpga开发

相位累加器模块

QuartusDDS信号发生器Verilog代码仿真,fpga开发

QuartusDDS信号发生器Verilog代码仿真,fpga开发

波形选择控制模块

QuartusDDS信号发生器Verilog代码仿真,fpga开发

部分代码展示:

`timescale 1ns / 1ps
//输出频率f=clk_50M*frequency/2^10
module DDS_top(
    input clk_50M,//时钟输入
    input [1:0] wave_select,//01输出sin,10输出方波,11输出三角波
    input [7:0] frequency,//频率控制字,控制输出波形频率,值越大,频率越大
    output [7:0] wave//输出波形
    );
 
wire [9:0] addra;
wire [7:0] douta_fangbo;
wire [7:0] douta_sanjiao;
wire [7:0] douta_sin;
//方波ROM
fangbo_ROM i_fangbo_ROM (
      .clock(clk_50M),    // input wire clka
      .address(addra),  // input wire [9 : 0] addra
      .q(douta_fangbo)  // output wire [7 : 0] douta
    );
//三角波ROM
sanjiao_ROM i_sanjiao_ROM (
  .clock(clk_50M),    // input wire clka
  .address(addra),  // input wire [9 : 0] addra
  .q(douta_sanjiao)  // output wire [7 : 0] douta
);
//sin波ROM
sin_ROM i_sin_ROM (
  .clock(clk_50M),    // input wire clka
  .address(addra),  // input wire [9 : 0] addra
  .q(douta_sin)  // output wire [7 : 0] douta
);
//相位累加器
Frequency_ctrl i_Frequency_ctrl(
. clk_50M(clk_50M),
. frequency(frequency),//频率控制字
. addra(addra)//输出地址
    );
//波形选择控制
wire [7:0] wave_rom;
wave_sel i_wave_sel(
. clk_50M(clk_50M),
. wave_select(wave_select),//01输出sin,10输出方波,11输出三角波
. douta_fangbo(douta_fangbo),//方波
. douta_sanjiao(douta_sanjiao),//三角
. douta_sin(douta_sin),    //正弦   
. wave(wave_rom)//输出波形    
    );
assign wave=wave_rom;
endmodule
完整代码

 扫描文章末尾的公众号二维码文章来源地址https://www.toymoban.com/news/detail-785151.html

到了这里,关于QuartusDDS信号发生器Verilog代码仿真的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于vivado+Verilog FPGA开发 — 基于AD9767高速DAC的DDS信号发生器

    目录  一、功能定义 二、设计输入  1、主模块 2、DDS模块 3、 按键消抖模块 三、功能仿真  四、综合优化 五、布局布线 六、时序仿真 七、板级调试  代码规范:Verilog 代码规范_verilog代码编写规范-CSDN博客 开发流程:FPGA基础知识----第二章 FPGA 开发流程_fpga 一个项目的整个

    2024年03月18日
    浏览(59)
  • 【单片机基础】使用51单片机制作函数信号发生器(DAC0832使用仿真)

    单片机作为一个数字电路系统,当需要采集外界模拟量的使用需要进行AD转换,将模拟量转换成数字量,供单片机使用。51单片机需要外部配置一个AD转换芯片来进行模拟量的采集,如我之前写了一篇ADC0832的使用。高级的单片机如STC12和STM32已经集成了AD转换功能,只需简单配置

    2024年02月08日
    浏览(34)
  • FPGA实验报告 Verilog HDL:7人表决器 巴克码信号发生器 FPGA数字时钟

    写在前面:本文提供以下三个任务的思路讲解和代码实现, 如需参考引脚配置说明,可以点击下方链接跳转查看完整实验报告 ;本实验使用的是Altera公司的cycloneⅢ类型的芯片。 Verilog HDL实现:7人表决器 信号发生器 多功能数字时钟 实验目标:实现7人投票表决电路,支持人

    2024年02月05日
    浏览(37)
  • 51单片机可调幅度频率波形信号发生器( proteus仿真+程序+原理图+报告+讲解视频)

    51单片机可调幅度频率信号发生器( proteus仿真+程序+原理图+报告+讲解视频) 仿真图proteus7.8及以上 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:S0055 基于51单片机的可调幅度频率波形信号发生器 基于51单片机的三角波信号发生器 1、幅值在0-5V可以通过按键调整,频率在

    2024年02月08日
    浏览(30)
  • 基于51单片机的四种波形信号发生器仿真设计(仿真+程序源码+设计说明书+讲解视频)

    本设计 (仿真+程序源码+设计说明书+讲解视频) 仿真原版本:proteus 7.8 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:S0015 1、本设计采用AT89C51单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)、运放电路(LM324)、按键和LCD1602液晶显示电路。 2、电路采用单

    2024年02月05日
    浏览(35)
  • 基于FPGA的DDS原理信号发生器设计 quartusII 9.1平台 Verilog HDL语言编程 可产生正弦波

    基于FPGA的DDS原理信号发生器设计 quartusII 9.1平台 Verilog HDL语言编程  可产生正弦波、方波、锯齿波以及三角波   频率幅度可调节   代码+原理图 在现代电子技术领域,针对各种应用的信号发生器是一种非常核心的设备,而基于现场可编程逻辑门阵列(FPGA)的直接数字合成(

    2024年04月27日
    浏览(45)
  • 【序列信号发生器(IC)】

      在数字信号传输的过程中,有时会需要产生一组特定的串行数字信号,产生这种序列信号的电路称为序列信号发生器。 通过数字信号设计与Verilog代码理解序列信号发生器;下面介绍几种Verilog编程中常用的方法。 1、环形移位寄存器   例如需要发出一组100111的一组6位序

    2024年02月05日
    浏览(26)
  • 正弦信号发生器设计——VHDL

    (1)学习并掌握Quartus II的使用方法 (2)学习简单时序电路的设计和硬件测试。 (3)学习使用VHDL 语言方法进行逻辑设计输入 (4)进一步熟悉QuartusⅡ及其 LPM_ROM 与 FPGA硬件资源的使用方法,学习较复杂的数字系统设计方法,并在实验开发系统上熟悉运行输入及仿真步骤原理

    2024年02月02日
    浏览(34)
  • FPGA实验五:信号发生器设计

    目录 一、实验目的 二、设计要求 三、实验代码 1.代码原理分析 2.代码设计思路

    2024年02月12日
    浏览(33)
  • 电子制作——ICL8038信号发生器

    电子制作——一个电调的诞生之路 电子制作——ICL8038信号发生器 寒假放假在家,手头刚好缺了一个信号发生器用。在网上查了资料,发现ICL8083这个芯片很不错,虽然有点老,但是用起来方便,制作电路板发厂又很简单,所以做了一个DEMO以供实验用。 ICL8038是一个可以产生多

    2023年04月17日
    浏览(28)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包