正弦信号发生器设计——VHDL

这篇具有很好参考价值的文章主要介绍了正弦信号发生器设计——VHDL。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、实验目的

(1)学习并掌握Quartus II的使用方法
(2)学习简单时序电路的设计和硬件测试。
(3)学习使用VHDL 语言方法进行逻辑设计输入
(4)进一步熟悉QuartusⅡ及其 LPM_ROM 与 FPGA硬件资源的使用方法,学习较复杂的数字系统设计方法,并在实验开发系统上熟悉运行输入及仿真步骤原理

二、实验仪器设备

(1) PC机一台。
(2)Quartus Ⅱ开发软件一套
(3)EDA实验开发系统一套(EP1C12Q240C8)

三、实验原理

正弦信号发生器的结构由4部分组成:数据计数器或地址发生器、波形数据ROM、D/A和滤波电路。性能良好的正弦信号发生器的设计要求此4部分具有高速性能,且数据 ROM在高速条件下,占用最少的逻辑资源,设计流程最便捷,波形数据获取最方便。
数据计数器或地址发生器产生控制ROM波形数据表的地址,输出信号的频率由ROM地址的变化速率决定,变化越快,输出频率越高。
波形数据表ROM用于存放波形数据,可以存放正弦波、三角波或者其他波形数据。
D/A转换器将ROM 输出的数据转换成模拟信号,经过滤波电路后输出。

四、实验内容

在Quartus Ⅱ上完成正弦信号发生器设计,包括仿真和资源利用情况(假设利用Cyclone器件)。然后在实验系统上实测,包括Quartus Ⅱ测试、FPGA中 ROM在系统数据读写测试和利用示波器测试。最后完成配置器件的编程。
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga

五、实验步骤

(1)启动Quartus II建立一个空白工程,然后命名为 rom.qpf。
(2)新建VHDL 源程序文件rom.bdf,输入程序代码并保存,进行综合编译,若编译过程中发现错误,则找出并更正错误,直至编译成功为止。
(3)5位计数器生成方法,选择工具栏的File→New→Device Dising→VHDL File→OK,在原程序输入窗口输入以下程序,后保存并编译。编译成功后单击工具栏的File→Credte Update→ Create Symbol Files for Current File

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED. ALL;
ENTITY CNT6b IS
PORT (CLK: IN std_logic;
		CQ:	OUT std_logic_vector(5 downto 0));
END CNT6b;
ARCHITECTURE behav OF CNT6b IS
BEGIN 
PROCESS(CLK)
	variable CQI :std_logic_vector(5 downto 0);
 BEGIN 
	IF CLK'EVENT AND CLK='1'THEN
	IF CQI<4 THEN   CQI:=CQI + 1;
 END IF;
 END IF;
 CQ<= CQI;
 END PROCESS;
 END behav;

编译成功后双击已创建的rom.bdf原理图窗口弹出如下图窗口,单击窗口左上脚Project即可看到刚才建好的CNT6b工程文件,用鼠标左键选择CNT6b,再单击ok即可将cnt6原理图模块调入原理图窗口。
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
(4)设计LPM_ROM相关元件,打开Mif任意波编辑器Mif_Maker2010,选择“设定波形”-“全局参数”,修改数据长度为64,数据宽度为8,如图所示。
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
打开Tools菜单,选择MegaWizard Plug-In Manager,操作如下:
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
点击“Next”,输出文件信息(文件类型:VHDL;文件地址:D:/Users/DELL/Desktop/sinve/;文件名称:data_rom)
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga

正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
点击Next后,导入设计的.mif文件,如下图所示:
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
选择产生文件类型,默认产生data_rom.vhd,可以自由选择产生更多的文件
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
首先在文件夹D:/Users/DELL/Desktop/sinve/中找到生成的文件data_rom.v;将data_rom.v设为当前工程,并对其编译;选择File-Create/Update-Create Symbol Files for Current File,生成data_rom元件
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
(5)选择目标器件并对相应的引脚进行锁定,在这里所选择的器件为Altera公司 Cyclone系列的EPIC12Q240C8芯片。将未使用的管脚设置为三态输入。则找出并更正错误,
(6)对该工程文件进行全程编译处理,若在编译过程中发现错误直至编译成功为止。接到PC机的打印机并口
(7)拿出 Altera Byte Blaster II下载电缆,并将此电缆的两端分别接到PC机的打印机并口和实验箱的JTAG下载口上,打开电源,执行下载命令,把程序下载到 FPGA器件中,观察示波器显示状态。
QUARTUS II效果展示图:
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
(注:此图中三个data_rom从上到下依次是方波,正弦波,三角波具体做实验时接其一即可)
成果展示图:
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga
引脚配置:
正弦信号发生器设计,FPGA,VHDL,vhdl,嵌入式硬件,fpga

六、实验要求

(1)选择实验电路模式5
(2)设计仿真文件,进行软件验证
(3)用VHDL程序设计方法实现信号发生器设计
(4)通过下载线下载到实验系统上进行硬件测试验证

七、实验扩展

工程文件下载:
正弦,方波,三角波信号发生器设计源工程代码文章来源地址https://www.toymoban.com/news/detail-785606.html

到了这里,关于正弦信号发生器设计——VHDL的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • EDA实验-----正弦信号发生器的设计(Quartus II )

    目录 一、实验目的 二、实验仪器 三、实验原理 四、实验内容 五、实验步骤 六、注意事项 七、实验过程(操作过程) 1.定制LPM_ROM模块 2.定制LPM_ROM元件  3.计数器定制 4.创建锁相环 5.作出电路图 6.顶层设计仿真 学习使用Verilog设计波形发生器。 掌握LPM_ROM在波形发生器设计中

    2024年02月04日
    浏览(37)
  • FPGA实现的多波形信号发生器,支持正弦、方波、锯齿波、三角波及调制,配备仿真和实物制作功能,使用Verilog HDL编写

    基于FPGA的DDS多波形信号发生器,可以产生正弦波,方波,锯齿波三角波,调制波形2psk.2askAM调制,可以仿真,可以制作实物,可以进行讲解! 使用可以使用Quarter9.0自带仿真软件进行仿真波形。 也可以使用quarter13.1与modesim进行联合仿真进行仿真波形! 使用verilog HDL语言进行编

    2024年04月12日
    浏览(39)
  • 基于STC89C52RC芯片 高频DDS信号发生器AD9851信号源方波正弦波系统设计

    一开始是使用按键进行频率输出数值的增加或者减少,后改进成使用EC11调节输出数值,使数值的输出更加顺滑流畅。 AD9851.c AD9851.h LCD12864.c LCD12864.h EC11.c EC11.h main.c

    2024年02月05日
    浏览(42)
  • FPGA实验五:信号发生器设计

    目录 一、实验目的 二、设计要求 三、实验代码 1.代码原理分析 2.代码设计思路

    2024年02月12日
    浏览(33)
  • FPGA之简易DDS信号发生器设计

    设计一个能产生频率可变、相位可调的能产生正弦波、三角波、方波、锯齿波的信号发生器。 DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一项关键的数字化技术。与传统的频率合成器相比,DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点,

    2024年02月02日
    浏览(36)
  • 基于FPGA的简易 DDS 信号发生器的设计

    DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写,是一项关键的数字化技术。与传统的频率合成器相比, DDS 具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。作为设计人员,我们

    2024年02月07日
    浏览(37)
  • 【Multisim 14.0】信号发生器和示波器的使用---方波、三角波、正弦波

    目录 Multisim 14.0仿真要求: 仿真 信号发生器产生正弦波 信号发生器产生方波 信号发生器产生三角波 单相交流电源(电压220V,频率50赫兹) 三相交流电源(相电压220V,频率50赫兹) 要求1: 用示波器测交流电源和信号发生器产生的各种波形 。 观察波形形式,查看三相交流电

    2024年02月03日
    浏览(72)
  • FPGA学习任意波函数信号发生器的设计(基于quartus II13.0)

    平台: quartus II 13.0 仿真:signal tap II 语言:VHDL 方式:原理图bdf输入 芯片:Cyclone IV E: EP4CE6E22C8 设计一任意波函数信号发生器,具备以下两功能: ①能输出标准正弦波波形。 ②能输出任意函数波形。 首先明确实验用到的宏模块操作是在tool——MeGaWizard Plug-In Manager中(如下图)

    2024年02月03日
    浏览(33)
  • labview图形显示正弦曲线信号发生器频率幅值相位数字示波器滤波器频谱分析

    wx供重浩:创享日记 对话框发送:labview图形 获取完整无水印报告+源程序文件 前面板. (1)添加1个波形图表控件:控件选板→新式→图形→波形图表。 (2)添加1个停止按钮:控件选板→新式-→布尔→停止按钮。 设计的程序前面板如图9-1所示。 框图程序 (1)添加1个除法函数:函数选

    2024年02月05日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包