DFT中的SCAN、BIST、ATPG基本概念

这篇具有很好参考价值的文章主要介绍了DFT中的SCAN、BIST、ATPG基本概念。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

DFT中的SCAN、BIST、ATPG基本概念

SCAN

定义

扫描路径法是一种针对时序电路芯片的DFT方案,目标是在不影响正常功能的情况下来能够提高可控性和可观测性。

原理

原理是将时序电路可以模型化为一个组合电路网络和带触发器(Flip-Flop,简称FF)的时序电路网络的反馈。

实现

具体实现步骤共分为两步:
step1:DFF替换为SDFF
DFT中的SCAN、BIST、ATPG基本概念,数字IC设计,数字IC设计
step2:将SDFF串联起来形成scan chain
DFT中的SCAN、BIST、ATPG基本概念,数字IC设计,数字IC设计

示例

DFT中的SCAN、BIST、ATPG基本概念,数字IC设计,数字IC设计DFT中的SCAN、BIST、ATPG基本概念,数字IC设计,数字IC设计
测寄存器

  • Scan-En=0,关闭scan,不影响正常功能
  • Scan-En=1,打开scan,驱动clk并在scan-in输入测试数据,并在scan-out检测输出数据。若输出数据与输入数据不匹配,则说明对应串联的scan chain存在故障。

测组合逻辑

  • Scan-En=1,打开scan,将scan-in的输入数据送至组合逻辑的输入
  • Scan-En=0,关闭scan,进行中间组合逻辑部分的计算,并将结果正常送至输出端的两个寄存器总
  • Scan-En=1,打开scan,将两个输出通过scan chain移位从右侧Scan-out接口输出,并对比其余期望值是否一致。

BIST

定义

BIST(Built-in Seft Test)内建测试,是DFT的一种技术。

原理

BIST是在设计时在电路中植入相关功能电路用于提供自我测试功能的技术,以此降低器件测试对自动测试设备(ATE)的依赖程度。

实现

由三部分组成:

  • TPG (test pattern generator)测试向量生成器:用来自动生成测试向量,灌入CUT(circuit under test)的输入引脚。
  • ORA (output response analyzer)输出响应分析器:对待测电路的输出进行压缩对比,来确定电路是否有错误。
  • BIST controller 内建自测试控制器:控制何时将什么样的数据用到被测电路上,控制被测电路的时钟并决定何时读取预期响应。
    DFT中的SCAN、BIST、ATPG基本概念,数字IC设计,数字IC设计

ATPG

定义

Automatic Test Pattern Generation (ATPG)是DFT(Design for Testability,可测试性设计)中常用的技术,用于自动生成测试向量。

原理

基于一定的算法,通过对电路的描述,自动生成能够检测到电路中所有故障的测试向量。前提是插好scan chain,然后才能通过ATPG来进行DFT。

实现

通过工具完成即可

注意

  • SCAN、BIST通常是由工具完成,同时也需要一定的能力来进行一些手工设置。
  • DFT部分做的越完善,ATE需要做的也会相对越少。
  • SCAN、BIST均会带来面积方面的增加,但能够很大程度提高芯片质量。

参考链接

scan: https://zhuanlan.zhihu.com/p/201353304
bist:https://zhuanlan.zhihu.com/p/169490809文章来源地址https://www.toymoban.com/news/detail-786038.html

到了这里,关于DFT中的SCAN、BIST、ATPG基本概念的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Verilog数字系统设计(夏宇闻)4-----Verilog语法的基本概念2】

    下面先介绍几个简单的Verilog HDL程序,从中了解Verilog模块的特性。 例1 如图所示的二选一多路选择器的Verilog HDL程序如下: 从例1中很容易理解模块muxtwo的作用。它是一个如图所示的二选一多路器,输出out与输入a一致,还是与输入b一致,由sl的电平决定。当控制信号sl为非(低

    2023年04月08日
    浏览(30)
  • 数字IC设计之——低功耗设计

    目录 概述 背景 为什么需要低功耗设计 CMOS IC功耗分析 基本概念 功耗的分类 功耗相关构成 不同层次低功耗设计方法 芯片中的功耗分布以及对应的低功耗方案 低功耗方案 系统算法级的低功耗技术 编码阶段的低功耗技术 门控时钟 Clock Gating 物理实施的低功耗技术 操作数分离

    2023年04月18日
    浏览(71)
  • 面经-2023-中兴-数字IC设计

    专栏推荐: 2023 数字IC设计秋招复盘——数十家公司笔试题、面试实录 专栏首页: 2023 数字IC设计秋招复盘——数十家公司笔试题、面试实录 专栏内容: 笔试复盘篇 2023秋招过程中整理的笔试题,来源包括我自己求职笔试以及整理其他同学的笔试。包含华为、中兴、联发科、

    2024年02月12日
    浏览(29)
  • 数字IC后端设计如何从零基础快速入门?(内附数字IC后端学习视频)

    数字IC后端工程师主要职责是把数字IC前端工程师写的逻辑功能RTL转变成物理实际连线GDS版图。这个过程的本质是基于一定的时序约束和物理约束将设计的逻辑功能等价转变成物理连接。因为这个GDS最后是要提交给foundary进行芯片加工制作的,光刻机无法识别逻辑功能,它只认

    2024年01月20日
    浏览(32)
  • 模拟IC与数字IC设计该怎么选?哪个岗位薪资高?

    很多同学想要入行IC,但不知道数字和模拟方向怎么选? 如果没有亲身体会过模拟设计,并有发自内心的自信或者兴趣,一般不看好纯小白去学模拟电路设计。 模拟设计想做好,没有数学功底,没有电路分析的功底,很难会有出彩的机会。就连零极点分析都搞不清、基尔霍夫

    2024年02月03日
    浏览(52)
  • 数字IC前端设计流程及详细解释

    数字前端以设计架构为起点,以生成可以布局布线的网表为终点。 使用设计的电路实现想法,主要包括:基本的RTL编程和仿真。前端设计还可以包括 IC系统设计、前仿真波形验证、综合、STA、FM验证。其中 IC系统设计最难掌握,它需要多年的IC设计经验和熟悉那个应用领域,

    2024年02月06日
    浏览(35)
  • 【数字IC设计】Design Compiler入门

    本博客参考自文章链接 本文以全加器为例,演示DC综合的流程。设计文件如下: 创建library文件夹,将工艺库文件放入此文件夹,如下图所示 启动dc,输入 输入 设置目标库 输入 设置链接库 结果如下图所示 输入命令: 输入后显示如下: 然后输入check_design检查设计,如下图所

    2023年04月13日
    浏览(37)
  • 【数字IC/FPGA】Verilog中的force和release

    在Verilog中,将 force 用于variable会覆盖掉 过程赋值 ,或者assign引导的 连续(procedural assign)赋值 ,直到 release 。 下面通过一个简单的例子展示其用法: 加法器代码 测试平台代码(主要用于产生激励) 如上所示,正常情况下,u_adder模块的a和b端口由testbench中的a和b信号驱动,

    2024年02月09日
    浏览(34)
  • 数字 IC 设计职位经典笔/面试题(二)

    共100道经典笔试、面试题目 (文末可全领) 三种资源:BLOCK RAM,触发器(FF),查找表(LUT);注意事项: 1:在生成 RAM 等存储单元时,应该首选 BLOCK RAM 资源; 其原因有二: 第一:使用 BLOCK RAM 等资源,可以节约更多的 FF 和 4-LUT 等底层可编程单元。使用BLOCK RAM 可以说是

    2024年02月17日
    浏览(29)
  • 数字IC设计之静态时序分析(STA)

    静态时序分析原理 什么是STA 分析(计算)design是否满足timing约束的要求 DFF(sequential cell—有clk的器件)setup/hold需求 复位/设置信号 信号脉冲宽度 门控时钟信号 计算design是否满足DRC的要求 max_capacitance—节点电容 max_transition—信号爬升时间 max_fanout—负载能力有多少 如上图所

    2024年02月05日
    浏览(40)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包