孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波

这篇具有很好参考价值的文章主要介绍了孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

(原创声明:该文是作者的原创,面向对象是FPGA入门者,后续会有进阶的高级教程。宗旨是让每个想做FPGA的人轻松入门作者不光让大家知其然,还要让大家知其所以然!每个工程作者都搭建了全自动化的仿真环境,只需要双击top_tb.bat文件就可以完成整个的仿真(前提是安装了modelsim),降低了初学者的门槛。如需整个工程请留言(WX:Blue23Light),不收任何费用,但是仅供参考,不建议大家获得资料后从事一些商业活动!

 均值滤波,是图像处理中常用的手段,从频率域观点来看均值滤波是一种低通滤波器,高频信号将会去掉。均值滤波可以帮助消除图像尖锐噪声,实现图像平滑,模糊等功能。理想的均值滤波是用每个像素和它周围像素计算出来的平均值替换图像中每个像素。

均值滤波的实现方式就是用N个点的值相加再求平均,用这个平均值代替一个点的值,思路是非常简单的,用FPGA如何实现呢?如下所示,假如我们每次用4个点求均值,那就可以选择4个时钟周期的滑窗向右滑动1个时钟周期滑动1次。开始时滑窗是在数据1,2,3,4的位置,累加后除4得到结果;下个时钟周期滑窗在数据2,3,4,5的位置,累加后除4得到结果;再下个周期滑窗在数据3,4,5,6的位置......N值比较小的时候还好处理,但是N比较大的时候,比如64个值求均值,应该如何实现呢?总不能在每个时钟周期完成64次累计吧?我们仔细观察一下,当第1个滑窗完成累加得到结果x,到第2个滑窗的时候2,3,4,5的累加值其实可以用x-d1+d5这样求出来,所以一个时钟周期完成一次加法和减法即可。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

所以要计算N个值的均值,就要至少有N个寄存器用于缓存这N个数据,如果再有新的数据,那就将这N个寄存器统一移动,进来新的数据,出去旧的数据。这个操作其实就是RAM或者FIFO的功能。我们可以用数组搭建一个简单的RAM,如下所示。参数N就是数组的个数,通过wr_en,wr_addrwr_data将数据写入数组,通过rd_en,rd_addr,rd_vld,rd_data等将数据读出数组。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

mean_filter模块中,定义了参数FILTER_CNT,用来指示多少个数据进行均值滤波,BIT_CNTFILTER_CNT8位数据相加,结果最大需要扩展多少位。本设计为了简单起见,避免了除法的使用,那FILTER_CNT取2,4,8,16,32......等2BIT_CNT次幂。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

计数器cnt用来控制开始的FILTER_CNT次的累加,当有新的数据要写入时,产生写使能wr_en,写地址wr_addr和写数据信号wr_data

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

当已经有FILTER_CNT个数据写入RAM后,开始从RAM中读出写入时间最长的数据。在rd_domain拉高可以读取数据,这儿需要注意一下,写RAM的地址和读RAM的地址在同一个时刻是完全一样的。因为读写RAM用的都是时序逻辑,写x地址,数据在下个系统时钟才写进去,读x地址,下个时钟周期读出来的是当前时刻x地址内数据。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

例化mean_ram,在RAM内数据小于FILTER_CNT时进行累加,当RAM内数据等于FILTER_CNT后进行新数据累加,同时旧数据减操作,最后输出取BIT_CNT+7BIT_CNT位即为均值。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

新建仿真tb文件来产生随机数,双击sim目录下的top_tb.bat文件,完成系统的自动化仿真。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

modelsim的仿真结果如下所示,首先取8个点进行均值滤波,滤波后的输出波形随机性降低。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法

再取64个点进行均值滤波,滤波后的输出波形随机性进一步降低。所以可以看出,均值滤波能够抑制系统的随机噪声,点数越多,一致程度也越高。

孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波,fpga开发,均值算法,算法文章来源地址https://www.toymoban.com/news/detail-786481.html

到了这里,关于孩子都能学会的FPGA:第三十课——用FPGA实现均值滤波的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 孩子都能学会的FPGA:第十八课——用FPGA实现定点数的除法

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月04日
    浏览(35)
  • 孩子都能学会的FPGA:第二十五课——用FPGA实现频率计

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月02日
    浏览(32)
  • 孩子都能学会的FPGA:第十六课——用FPGA实现IIR滤波器滤波

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年01月21日
    浏览(45)
  • 孩子都能学会的FPGA:第二十八课——用FPGA实现最近最少使用(LRU)算法

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月19日
    浏览(34)
  • 孩子都能学会的FPGA:第八课——状态机

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年01月21日
    浏览(38)
  • 孩子都能学会的FPGA:第十二课——利用内存产生正弦波

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月03日
    浏览(34)
  • 孩子都能学会的FPGA:第九课——多字节数据的发送和接收

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月04日
    浏览(47)
  • 孩子都能学会的FPGA:第十一课——基于握手机制的跨时钟域同步

    (原创声明:该文是 作者的原创 ,面向对象是 FPGA入门者 ,后续会有进阶的高级教程。宗旨是 让每个想做FPGA的人轻松入门 , 作者不光让大家知其然,还要让大家知其所以然 !每个工程作者都搭建了全自动化的仿真环境,只需要双击 top_tb.bat 文件就可以完成整个的仿真(前

    2024年02月04日
    浏览(37)
  • Verilog实现FPGA平均值计算

    Verilog实现FPGA平均值计算 在数字电路设计中,计算平均值是一个非常基础的操作。本文将通过Verilog语言来实现在FPGA中计算一个数据流的平均值。 首先,我们需要定义一个计数器和一个累加器来分别记录输入数据流的总数和总和。这里我们使用32位的寄存器作为计数器和累加

    2024年02月03日
    浏览(115)
  • 【正点原子FPGA连载】第三十一章DDR4读写测试实验 摘自【正点原子】DFZU2EG/4EV MPSoC 之FPGA开发指南V1.0

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: http://www.openedv.com/thread-340252-1-1.html DDR4 SDRAM(Double-Data-Rate Fourth Generation Synchronous Dynamic Random Access Memory,简称为DDR4 SDRAM),是一种高速动态随机

    2024年01月16日
    浏览(46)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包