Quartus乒乓球游戏电路verilog代码DE2-115开发板

这篇具有很好参考价值的文章主要介绍了Quartus乒乓球游戏电路verilog代码DE2-115开发板。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

名称:Quartus乒乓球游戏电路verilog代码DE2-115开发板(文末下载)

软件:Quartus

语言:Verilog

代码功能:

乒乓球游戏电路

设计任务

设计一个数字系统,模拟

选手 A(左方)和选手 B(右方)

的乒乓球比赛游戏,要求具有

如下功能:

1 裁判按开始键后开始

比赛,首先左方 A 发球,每方

发5个球后自动换发球;

2 能模拟乒乓球台上球

的运动轨迹和击球过程;

③ 能自动判球,统计显示双方得分情况,先获得 15 分者获胜;

④ 判球标准为 : 球到达一方的球拍位置时,若该选手未按击球键则对方得分;若球未

到达击球位置,选手提前击球则击球无效,但不失分。

本代码已在DE2-115开发板验证,DE2-115开发板如下,其他开发板可以修改管脚适配:

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

设计文档.doc

1. 工程文件

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

2. 程序文件

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

3. 程序编译

4. 管脚分配

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

5. RTL图

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

状态图

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

6. 仿真图

按键下降沿检测模块

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

游戏控制模块

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

数码管显示模块

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

Quartus乒乓球游戏电路verilog代码DE2-115开发板,fpga开发

部分代码展示:文章来源地址https://www.toymoban.com/news/detail-789755.html

`timescale 1ns / 1ps
module pingpang_game(
    input clk_in,//50MHz
 input reset_n,//复位
    input start,//开始
    input button_1,//选手1 
    input button_2,//选手2 
 output win_led,//获胜提示
    output [5:0] led,//led,高电平亮 high light
    output [7:0] HEX0,//数码管0
    output [7:0] HEX1,//数码管1
    output [7:0] HEX2,//数码管2
    output [7:0] HEX3//数码管3 
    );
wire button_posedge_1;
wire button_posedge_2;
wire [7:0] score_1;
wire [7:0] score_2;
//按键下降沿模块
key_debounce i1_key_debounce(
     . clk(clk_in),
     . button_in(button_1),//输入
  . button_pos(button_posedge_1)//消抖后按键上升沿
);
//按键下降沿模块
key_debounce i2_key_debounce(
     . clk(clk_in),
     . button_in(button_2),//输入
  . button_pos(button_posedge_2)//消抖后按键上升沿
);
 
//游戏控制模块
game_ctrl i_game_ctrl(
    . clk_in(clk_in),
 . reset_n(reset_n),
    . start(start),//复位
    . led(led),//led,高电平亮 high light
 . win_led(win_led),
    . button_posedge_1(button_posedge_1),//消抖后按键上升沿
    . button_posedge_2(button_posedge_2),//消抖后按键上升沿
    . score_1(score_1),//分数1
    . score_2(score_2)//分数2
    );
//数码管显示模块
display_num i_display_num(
. clk(clk_in),
. score_1(score_1),//分数1
. score_2(score_2),//分数2
. HEX0(HEX0),//数码管0
. HEX1(HEX1),//数码管1
. HEX2(HEX2),//数码管2
. HEX3(HEX3) //数码管3
);
endmodule

到了这里,关于Quartus乒乓球游戏电路verilog代码DE2-115开发板的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Python 随练】乒乓球比赛名单

    两个乒乓球队进行比赛,各出三人。甲队为 a,b,c 三人,乙队为 x,y,z 三人。已抽签决定比赛名单。有人向队员打听比赛的名单。a 说他不和 x 比,c 说他不和 x,z 比,请编程序找出三队赛手的名单。 在本篇博客中,我们将解决一个逻辑推理问题:乒乓球比赛名单。根据已知条件

    2024年02月09日
    浏览(26)
  • 【脑筋急转弯系列】乒乓球称重问题

    💝💝💝欢迎来到我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学习,不断总结,共同进步,活到老学到老 导航 檀越剑指大厂系列:全面总

    2024年01月16日
    浏览(30)
  • 机器人制作开源方案 | 乒乓球自动拾取机器人

    作者:刘众森、王森、王绘东、崔岳震、宋维鑫 单位:山东农业工程学院 指导老师:潘莹月、廖希杰       我们小组选择项目的任务方向乒乓球的捡取与存放,针对此问题我们研发了一款乒乓球自动拾取机器人。众所周知,乒乓球是一种世界流行的球类体育项目,而我国是

    2024年02月01日
    浏览(37)
  • python+django高校体育乒乓球场地预约管理系统_s2409

    本系统提供给管理员对首页,个人中心,用户管理,乒乓球场管理,场地类型管理,场地预约管理,暂离申请管理,离开申请管理,管理员管理,留言反馈,系统管理等诸多功能进行管理。本系统对于用户输入的任何信息都进行了一定的验证,为管理员操作提高了效率,也使其数据安全

    2024年02月07日
    浏览(32)
  • 弹球游戏Verilog代码Quartus仿真

    名称:弹球游戏Verilog代码Quartus仿真(文末获取) 软件:Quartus 语言:Verilog 代码功能: 设计要求 查阅FPGA、VGA接口标准等相关资料文献。 2.编写HDL代码实现νGA显示模块和按键输入模块,并下载到开发板进行测试。 3.图像分辨率不低于1024*768,目标移动方块50*50,移动弹板为20*100。

    2024年02月02日
    浏览(37)
  • verilog 实现乒乓操作(附代码)

    乒乓操作整体流程图如下图所示: 乒乓操作的原理简单点说就是: 控制两个存储RAM1和RAM2,当数据开始存储进入RAM1时,将RAM2的数据输出进行处理;当数据开始存储进入RAM2时,将RAM1的数据输出进行处理。 何时存储数据由输入数据流选择模块控制,何时输出,由输出数据流选

    2023年04月25日
    浏览(25)
  • 自助点餐机Verilog代码远程云端平台Quartus

    名称:自助点餐机Verilog代码远程云端平台Quartus 软件:Quartus 语言:Verilog 代码功能: 自助点餐机设计, 商品分为7、9、14元三种套餐, 并且只接受5、10元两种面值的纸币: 可以一次点多份: 用数码管显示购买数量、所需金额、找零金额,当金额不足时通过蜂鸣器提示 本代码已

    2024年01月16日
    浏览(30)
  • 微波炉控制器Verilog代码Quartus仿真

    名称:微波炉控制器Verilog代码Quartus仿真(文末获取) 软件:Quartus 语言:Verilog 代码功能: 微波炉控制器 用芯片Altera Cyclone IV FPGA作为控制芯片,实现时间设置、温度设定、火力选择、声音提示,在硬件组成上,涉及到电源供电、按键输入、数码管显示、指示灯提示等。 由按

    2024年01月20日
    浏览(50)
  • Quartus波形发生器频率可调verilog代码仿真

    名称:Quartus波形发生器频率可调verilog代码仿真(文末下载) 软件:Quartus 语言:Verilog 代码功能: 波形发生器频率可调 可产生正弦波,锯齿波,三角波,方波4种波形(频率可调), 2.具有波形选择、起动、停止功能。 设计文档.doc 1. 工程文件 2. 程序文件 3. 程序编译 4. RTL图

    2024年02月03日
    浏览(58)
  • 智能抢答器Verilog代码Quartus远程云端平台

    名称:Quartus智能抢答器Verilog代码远程云端平台 软件:Quartus 语言:Verilog 代码功能: 设计要求    (1)设计语言为Veri1og,硬件开发平台为 Spartan-3E开发板。数码管显示倒计时5秒;每名选手抢到后由数码管显示其得分增加1,一共进行    (2)设计基于FPGA的智能抢答器的设计,要求有四

    2024年01月21日
    浏览(29)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包