VScode配置verilog环境(代码补全,报错,波形仿真)

这篇具有很好参考价值的文章主要介绍了VScode配置verilog环境(代码补全,报错,波形仿真)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

VScode 配置verilog环境

在win11的系统里,ise软件不能运行,而在虚拟机中ise的配置也很费劲,今天在这里教大家在VScode中玩转Verilog。

实现代码补全、代码高亮、错误检查

实现生成Testbench

实现波形仿真

所需配置文件

iverilog(自带gtkwave)、ctags.exe

所需配置文件

官方下载网址(速度较慢)

iverilog:Icarus Verilog for Windows (bleyer.org)

ctags:发布 ·通用-雄鹿/雄鹿-win32 ·GitHub

特别注意

在安装iverilog时对于安装中的所有选项都勾选,避免出现其它问题。

环境变量配置

iverilog文件夹中的bin文件夹以及iverilog文件夹中的gtkwave文件夹中的bin文件夹的路径添加到系统环境变量

系统环境变量:系统属性-高级环境变量-系统变量-Path

双击打开Path,点击新建,分别输入两个bin地址,点击确定。

vscode verilog,vscode,ide

确认成功之后在运行框中分别输入iverilog -vgtkwave -v,如果有正常输出即为设置成功

安装VScode插件

在VScode的拓展里安装如下五个插件,以支持Verilog功能

vscode verilog,vscode,ide

同时我们需要对于Verilog-HDL插件进行配置

vscode verilog,vscode,ide

右键Verilog-HDL -选择拓展设置

Verilog › Ctags: Path:填入ctags.exe文件的绝对路径

Verilog › Linting: Linter:选择iverilog

Verilog › Linting › Modelsim: Arguments:填入**-i**

重启之后,你就会发现你的Vscode支持verilog代码补全,代码高亮,报错,以及编译的功能


为了之后testbench测试文件的自动生成,我们需要在VScode的终端中分别输入以下代码,搭建所需要环境(前提是已安装Python环境,这个可以在Baidu上自行学习)

pip install certifi

pip install chardet

pip install idna

pip install urllib3

插件的使用

编译

在文件夹中打开.v的verilog文件,点击右上角的编译按钮,若无错误提醒,即可编译成功,并在左侧的文件管理窗口看见.v.out的文件

vscode verilog,vscode,ide

如果发现输出框出现的是一堆乱码,打开设置中的时间和语言-其他日期、时间和区域设置

vscode verilog,vscode,ide

点击区域

vscode verilog,vscode,ide

点击更改系统区域设置

vscode verilog,vscode,ide

勾选方框,点击确认即可

vscode verilog,vscode,ide

之后Vscode便能正常编译了

生成Testbench模板

在VScode使用快捷键Ctrl+Shift+P打开功能键,输入或者选择Testbench,运行,即可在终端中显示生成的模板文件,复制内容生成tb文件即可,但要注意的是文件后缀仍然是.v

vscode verilog,vscode,ide

编写Testbench生成vcd文件

将终端中的内容复制到tb文件中,删除带PERIOD的两个initial模块并且在第二行添加``include “主文件名.v”`,在这里是

`include "example.v"

接下来在initial中添加

$dumpfile("波形文件名.vcd");
$dumpvars;

其中$dumpfile指定波形文件名,$dumpvars表示所有定义的wirereg都参与仿真。

再自行设置测试数据(在dumpvars和finish的中间添加状态代码)后点击运行,即可发现tb文件的.v.out文件,同时还有vcd文件。

vscode verilog,vscode,ide

观察仿真波形

方法一:打开vcd文件,添加变量,即可实现波形的观察。

vscode verilog,vscode,ide

方法二:在终端中输入gtkwave XXX.vcd

vscode verilog,vscode,ide

之后便会打开gtkwave软件查看波形

vscode verilog,vscode,ide

至于其他的功能,那就请读者自行探索了~文章来源地址https://www.toymoban.com/news/detail-792419.html

到了这里,关于VScode配置verilog环境(代码补全,报错,波形仿真)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【STM32】Keil V5联合VSCode配置代码编写与下载环境,解决报错问题

    最近重新捡起了STM32的开发工作,由于之前一年多的时间一直在从事PC端与Linux下的开发,习惯了VSCode的开发界面以及各种方便的插件,再次打开keil的界面时倍感丑陋。于是花了不少心思配置了VSCode中的代码编写环境,再次特做记录。 VSCode的插件商店中其实包含了支持Keil的插

    2024年02月06日
    浏览(73)
  • 用Verilog编写1位全加器,并进行波形仿真

    Quartus II+ModelSiml 全加器英语名称为full-adder,是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器。一位全加器可以处理低位进位,并输出本位加法进位。

    2024年02月11日
    浏览(41)
  • 学习如何独立的使用Modelsim进行仿真验证?——编写verilog文件并查看仿真波形

    本篇记录如何独立的使用Modelsim进行仿真,便于之后查看。 Modelsim独立仿真的步骤: 创建工作文件夹——编译设计文件——导入及运行仿真——调试结果 具体的: 1、新建一个工程 指定工程名称、路径和默认库名称。一般情况下,设定Default Library Name默认库名称为work。 指定的

    2023年04月08日
    浏览(39)
  • 使用Verilator仿真基于Verilog编写的testbench并用GTKWave查看波形

    Verilator是一个开源的Verilog、SystemVerilog仿真EDA。 它进行仿真的第一步称为“verilate”,将编写好的.v/.sv文件转化成为C++编写的类和方法。 第二步则是建立C++运行环境wrapper file,在里面编写的main函数用于例化第一步里生成的和Verilator自带的仿真不可缺少的类,之后运行Verilato

    2024年02月09日
    浏览(40)
  • JAVA环境配置【eclipse的安装与配置详细教程(包括UML插件 汉化 JDK 代码补全 导入导出等)】

    1.Eclipse安装与环境配置 1.将JDK与Eclipse这两个软件安装包放在一个文件夹下,方便之后安装使用。   2.安装JDK 在D:LeStoreDownloadJava文件夹下另外新建三个文件夹分别命名为java、jdk和eclipse(分别用于Java、jdk、eclipse的安装路径)【上图】   3.双击jdk-8u261-windows-x64.exe运行安装,进

    2024年01月16日
    浏览(49)
  • FPGA实现的多波形信号发生器,支持正弦、方波、锯齿波、三角波及调制,配备仿真和实物制作功能,使用Verilog HDL编写

    基于FPGA的DDS多波形信号发生器,可以产生正弦波,方波,锯齿波三角波,调制波形2psk.2askAM调制,可以仿真,可以制作实物,可以进行讲解! 使用可以使用Quarter9.0自带仿真软件进行仿真波形。 也可以使用quarter13.1与modesim进行联合仿真进行仿真波形! 使用verilog HDL语言进行编

    2024年04月12日
    浏览(51)
  • vscode代码补全

    打开vscode,依次点击:文件-首选项-设置-在搜索框中输入prevent,然后找到下图的选项,将该选项前的“√”去除,即可。 插件的话,推荐aiXcoder,国产的。希望这篇文章能帮到您。       像这种代码提示,按快捷键Tab补齐 vscode常用推荐的插件有下面几个: 1)、C/C++,这个肯

    2024年02月09日
    浏览(47)
  • 开启/关闭VSCode代码提示(补全)

    Ctrl+, 打开设置 搜索 editor.quickSuggestions 将 other 项的值改为 off (默认为on开启状态) 搜索 启用或禁用自动完成建议 取消勾选 完成关闭! 详细图片示例: 相关介绍: on 表示开启 / off 表示关闭 / inline 表示以虚影显示,如图: other :表示注释以及字符串以外的区域的快速建议

    2024年02月05日
    浏览(46)
  • VScode代码自动补全提示

    打开设置 搜索 Suggest:Snippets Prevent Quick Suggestions ,去掉勾选 Ctrl+Shift+P打开setting.json文件,添加以下代码

    2024年02月11日
    浏览(58)
  • vscode,自动补全代码,自动以代码段

    实现效果,输入vue,回车,会自动出现自定的代码段 打开vscode,点击文件——首选项——配置用户代码片段  2、输入vue,点击vue.json 3、配置vue,出现的代码段,配置完成。 4、使用,在.vue页面中输入vue,回车,会自动出现代码段。      

    2024年02月06日
    浏览(49)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包