可变频率正弦信号发生器的FPGA实现(Quartus)

这篇具有很好参考价值的文章主要介绍了可变频率正弦信号发生器的FPGA实现(Quartus)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

一、说明

实现平台:Quartus17.1、MATLAB2021a和Modelsim SE-64 10.4

二、内容

1. 产生一个完整周期的正弦波信号,并保存为*.mif文件;

2. 设计一个ROM,将正弦波信号文件初始化如该ROM中;

3. 设计一正弦波信号发生器,按照读取步长,产生频率可变的正弦波信号;

4.编写测试文件,通过modelsim查看波形。

三、步骤

(1)设计要求

  •  要求设计一个可变频率的正弦波产生器,主要参数为:
  • 50MHz的主时钟clock,低电平有效复位,reset;
  • 输出正弦波,8位输出;
  • 通过改变读地址的步进值,使输出的正弦波频率可变。

(2)设计思路

        采用top_down设计思想,将正弦波产生器分为三个模块。分别是顶层模块A_sin_gen,子模块B1_addr和子模B2_sin。

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

 图1 设计的总体框图

       其中顶层模块主要定义输入输出关系,划分两个子模块的接口,搭建起两个子模块的框架,整个设计要素如图2所示:

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

 图2 顶层模块A_sin_gen的设计要素

       子模块B1_addr主要用来产生对ROM的寻址。按照时钟街拍,改变寻址的步进,就可以改变输出正弦波的频率。该模块可以采用简单的向上计数器产生。模块设计要素如图3所示:

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

图3. 子模块B1_addr的设计要素 

        子模块B2_sin则主要用LPM_ROM宏模块产生。

(3)具体实现

  1. 首先通过MATLAB产生产生长度1024,8Bits位宽的正弦信号,并生成mif文件,代码如下:
%%产生长度1024,8Bits位宽的正弦信号,并生成mif文件

depth =1024;                                %存储器的单元数1024
widths = 8;                                 %数据宽度为8位
fidc = fopen('sine.mif','wt');              %给文件命名
fprintf(fidc , 'depth = %d;\n',depth);      %在文件中打印 "depth=1024;"
fprintf(fidc, 'width = %d;\n',widths);      %在文件中打印  width=8;”
fprintf(fidc, 'address_radix = UNS;\n');    %在文件中打印  address_radix = UNS;”
fprintf(fidc,'data_radix = UNS;\n');        %在文件中打印  “data_radix = UNS;”
fprintf(fidc,'content begin\n');            %在文件中打印   content begin 

for(x = 1 : depth)
fprintf(fidc,'%d:%d;\n',x-1,round(127*sin(2*pi*(x-1)/256)+128));
end
fprintf(fidc, 'end;');
fclose(fidc);

2.打开quartus新建工程,首先设计顶层模块,代码如下:

//-------------------------------------
//Date:Nov,15th,2022-----------------
//Author:Zhuzi------------------------
//Description:Generate variable frequency sine wave.
//Version:1.0--------------------------
//-------------------------------------

module a_sin_gen(clk,rst_n,sin_wave);
	input 				clk;            //时钟信号50MHz
	input 				rst_n;          //复位信号
	output [7:0] 		sin_wave;       //正弦信号

	wire   [9:0] 		RD_addr;        //rom地址
	wire   [7:0] 		sin_out;	    //读取正弦信号
	
B1_addr u1(                             //例化模块1
	.clk	(clk),
	.rst_n	(rst_n),
	.RD_addr(RD_addr)	
);

B2_sin u2(                              //例化模块2
	.clk	(clk),
	.add_in	(RD_addr),
	.sin_out(sin_out)
);

assign sin_wave = sin_out;              //输出正弦信号
	
endmodule	

​

3.接着按顺序设计模块1和模块2。子模块B1_addr用来产生对ROM的寻址,代码如下:

module B1_addr(clk,rst_n,RD_addr);
	input 			clk;
	input 			rst_n;
	output 	[9:0] 	RD_addr;

	parameter 		step = 5;//ROM寻址步长
	reg 	[9:0] 	addr;

assign RD_addr = addr;

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)
		addr <= 0;
	else addr <= addr + step;
end

endmodule

4.子模块B2_sin需要调用LPM_ROM宏功能模块,根据设计要求,ROM核的设置如下:

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

 fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

 子模块B2_sin代码如下:

module B2_sin(clk,add_in,sin_out);
	input 			clk;
	input 	[9:0] 	add_in;
	output 	[7:0] 	sin_out;
	
sin_rom_p u3(
	.address(add_in),
	.clock(clk),
	.q(sin_out)
);

endmodule

 5.程序设计完成后点击综合,只要综合完成即可进行下一步。

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

设计完成后可以看到RTL原理图如下所示,基本符合预期。

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

 6.TestBench程序编写如下:

`timescale 1 ns/ 1 ns
module a_sin_gen_vlg_tst();

reg             clk;
reg             rst_n;                                           
wire [7:0]     sin_wave;
                        
a_sin_gen i1 (  
	.clk(clk),
	.rst_n(rst_n),
	.sin_wave(sin_wave)
);

initial                                                
begin                                                  
	clk = 0;
	rst_n = 0;
	#100;
	rst_n = 1;	
end 
                                                   
always #10 clk = ~clk;
                                                   
endmodule

(4)仿真结果 

 1.修改子模块1中的parameter参数即可改变步长,当ROM寻址步长为10时,测试仿真波形如下图所示:

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

2. 当ROM寻址步长为5时,测试仿真波形如下图所示:

fpga正弦信号发生器,数字信号处理的FPGA实现,fpga开发,matlab

通过上述结果可知,改变寻址步长即可调整产生正弦波的频率,表明实验成功。 文章来源地址https://www.toymoban.com/news/detail-792650.html

到了这里,关于可变频率正弦信号发生器的FPGA实现(Quartus)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 正弦信号发生器设计——VHDL

    (1)学习并掌握Quartus II的使用方法 (2)学习简单时序电路的设计和硬件测试。 (3)学习使用VHDL 语言方法进行逻辑设计输入 (4)进一步熟悉QuartusⅡ及其 LPM_ROM 与 FPGA硬件资源的使用方法,学习较复杂的数字系统设计方法,并在实验开发系统上熟悉运行输入及仿真步骤原理

    2024年02月02日
    浏览(34)
  • EDA实验-----正弦信号发生器的设计(Quartus II )

    目录 一、实验目的 二、实验仪器 三、实验原理 四、实验内容 五、实验步骤 六、注意事项 七、实验过程(操作过程) 1.定制LPM_ROM模块 2.定制LPM_ROM元件  3.计数器定制 4.创建锁相环 5.作出电路图 6.顶层设计仿真 学习使用Verilog设计波形发生器。 掌握LPM_ROM在波形发生器设计中

    2024年02月04日
    浏览(37)
  • FPGA实现10M多功能信号发生器

    方案一:采用模拟锁相环实现。模拟锁相环技术是一项比较成熟的技术。应用模拟锁相环,可将基准频率倍频,或分频得到所需的频率,且调节精度可以做到相当高、稳定性也比较好。但模拟锁相环模拟电路复杂,不易调节,成本较高,并且频率调节不便且调节范围小,输出

    2023年04月09日
    浏览(29)
  • 【Multisim 14.0】信号发生器和示波器的使用---方波、三角波、正弦波

    目录 Multisim 14.0仿真要求: 仿真 信号发生器产生正弦波 信号发生器产生方波 信号发生器产生三角波 单相交流电源(电压220V,频率50赫兹) 三相交流电源(相电压220V,频率50赫兹) 要求1: 用示波器测交流电源和信号发生器产生的各种波形 。 观察波形形式,查看三相交流电

    2024年02月03日
    浏览(72)
  • 基于STC89C52RC芯片 高频DDS信号发生器AD9851信号源方波正弦波系统设计

    一开始是使用按键进行频率输出数值的增加或者减少,后改进成使用EC11调节输出数值,使数值的输出更加顺滑流畅。 AD9851.c AD9851.h LCD12864.c LCD12864.h EC11.c EC11.h main.c

    2024年02月05日
    浏览(42)
  • DDS信号发生器Verilog波形发生器FPGA

    名称:DDS信号发生器Verilog波形发生器 软件:Quartus 语言:Verilog 要求:  1.可产生正弦波,锯齿波,三角波,方波4种波形,频率可调  2.具有波形选择、起动、停止功能。 代码下载:DDS信号发生器Verilog波形发生器_Verilog/VHDL资源下载 代码网:hdlcode.com 部分代码展示 设计文档

    2024年02月07日
    浏览(41)
  • 51单片机可调幅度频率波形信号发生器( proteus仿真+程序+原理图+报告+讲解视频)

    51单片机可调幅度频率信号发生器( proteus仿真+程序+原理图+报告+讲解视频) 仿真图proteus7.8及以上 程序编译器:keil 4/keil 5 编程语言:C语言 设计编号:S0055 基于51单片机的可调幅度频率波形信号发生器 基于51单片机的三角波信号发生器 1、幅值在0-5V可以通过按键调整,频率在

    2024年02月08日
    浏览(30)
  • FPGA实验五:信号发生器设计

    目录 一、实验目的 二、设计要求 三、实验代码 1.代码原理分析 2.代码设计思路

    2024年02月12日
    浏览(33)
  • 基于FPGA的信号发生器(四)

         基于FPGA的信号发生器的硬件电路通常需要以下组件: FPGA芯片:FPGA芯片是这个电路的核心部件,用于实现信号生成算法和控制逻辑。选择合适规模的FPGA芯片以满足你的信号发生器的性能和功能需求。 时钟源:信号发生器需要一个稳定的时钟源,以确定信号的频率和采

    2024年04月14日
    浏览(38)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包