实验 6 流水灯设计

这篇具有很好参考价值的文章主要介绍了实验 6 流水灯设计。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

6.1 实验目的

编 写 Verilog HDL 代 码 驱 动 开 发 板 上 10 颗 LED 实现流水灯效果

6.2 实 验 仪 器 与 器 材

1. EDA 开发软件 一 套

2.微机 一 台

3.实验开发系统 一 台

4.打印机 一 台

5.其他器件与材料 若 干

6.3 实 验 说 明

1、 10 位的流水灯,从左到右流水,每隔 500ms 流动一下;

2、交替点亮 10 位 LED 灯,即 0.2.4.6.8LED 先 亮 , 然 后 1.3.5.7.9 LED 后亮, 循环,间隔 500ms;

6.4 实 验 要 求

1. 写出全部设计文件。

2. 编写测试向量,进行功能仿真。

3. 下 载 并 用 实 验 板 验 证 。

6.5 实 验 报 告 要 求

1. 写出全部设计文件。

module led1(

       input clk_50,

       input rst_n,

       output reg [9:0]led

);

reg [3:0]count;

reg a;

// 初始化

initial

       begin

              led <= 10'b1000000000;

              count<=4'b0000;

             

       end

// 计数 

always @ (posedge clk_50 )

begin

       if(count==4'b1001)

              begin

              count=4'b0000;

              end

             

       else

       count=count+4'b0001;

end

always @ (posedge clk_50 )

begin

       if(rst_n==1)

       begin

              case(count)

                     4'b0000:led= 10'b1000000000;4'b0101:led=10'b0100000000;

                     4'b0001:led= 10'b0010000000;4'b0110:led=10'b0001000000;

                     4'b0010:led= 10'b0000100000;4'b0111:led=10'b0000010000;

                     4'b0011:led= 10'b0000001000;4'b1000:led=10'b0000000100;

                     4'b0100:led= 10'b0000000010;4'b1001:led=10'b0000000001;

                    文章来源地址https://www.toymoban.com/news/detail-795374.html

             

                  

                endcase

          end

       else

       led=10'b0000000000;

end

endmodule

测试文件:

`timescale 1ms / 1ms

module led1tb;

  reg clk_50, rst_n;

  wire [9:0]led;

led1 U1(.led(led), .clk_50(clk_50), .rst_n(rst_n));

  initial begin

    {clk_50, rst_n} = 1'b0;

        #1000 rst_n=1'b1;

  

    forever #250 clk_50 = ~clk_50;

  end

endmodule

实验 6 流水灯设计,fpga开发
2. 打印仿真报告和波形。

3. 分析测试结果

从1000000000——0100000000——0010000000 ——0001000000——0000100000——0000010000——0000001000——0000000100——0000000010——0000000001

及灯0.2.4.6.8LED 先 亮 , 然 后 1.3.5.7.9 LED 后亮循环

实验 6 流水灯设计,fpga开发
实验板:

                    

到了这里,关于实验 6 流水灯设计的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 基于FPGA的花样流水灯的设计

    1、学习ISE Design Suite 14.7的基本操作; 2、掌握FPGA的开发流程; 3、学习时序电路的设计; 4、巩固状态机的相关知识。 根据对该实验要求的分析,设计状态转移图如下: 其中,在S1状态下LED灯从左往右依次亮;在S2状态下LED灯从右往左依次亮;在S3状态下LED灯从中间向两边扩散

    2024年01月16日
    浏览(35)
  • FPGA:Vivado流水灯设计详细流程(1)

    基于Vivado的FPGA设计开发的流程主要包括以下步骤: 1)创建工程; 2)创建源设计文件,包括Verilog文本、IP核、模块文件、网表输入等方式; 3)行为仿真(Behavioral Simulation),Vivado自带仿真器,也可以选择第三方仿真软件ModelSim等工具进行仿真; 4)综合(Synthesis):根据设

    2024年02月03日
    浏览(37)
  • 【FPGA第一天】Verilog基础与简易流水灯设计

    有关更多细节,请参考: verilog语法基础 1.1 新建文件夹用来存放项目文件,请注意你的文件夹路径不要有中文,不过系统自带的桌面和文档这些路径除外。 1.2 新建项目文件 prj 项目文件 rtl 寄存器转换级,用来描述各级寄存器,用来存放.v文件 tb 仿真文件 tcl tool command langu

    2024年02月01日
    浏览(61)
  • 5.2 FPGA:基于verilog的LED流水灯设计(多种方法)

    目录 设计目标:8个LED灯以每0.5s的速率进行循环闪烁 方法1:移位法实现 设计模块 仿真代码 实验结果  方法2:循环移位方法  设计模块 方法3:使用三八译码器实现流水灯 顶层模块 底层模块 当仿真时时间长,可以减小设计代码的计数次数,对分析移位功能没有影响。 设计

    2024年02月06日
    浏览(33)
  • FPGA开发之Vivado安装及HLS环境配置,并实现流水灯实例

    HLS(High-Level Synthesis)高层综合,就是将 C/C++的功能用 RTL 来实现,将 FPGA 的组件在一个软件环境中来开发,这个模块的功能验证在软件环境中来实现,无缝的将硬件仿真环境集合在一起,使用软件为中心的工具、报告以及优化设计,很容易的在 FPGA 传统的设计工具中生成 IP。

    2024年02月05日
    浏览(38)
  • 流水灯——FPGA

    环境: 1、Quartus18.0 2、vscode 3、板子型号:EP4CE6F17C8 要求: 每隔0.2s循环亮起LED灯 从LED0开始亮起到LED3又回到LED0循环往复。 流水灯 这里使用了拼接符对LED进行处理加上一个0.2s的计时器进行控制即可。 led流水灯

    2024年02月16日
    浏览(34)
  • fpga--流水灯

    fpga流水灯的设计 思路:外部时钟频率50mhz,若要实现每隔0.5s闪烁一次,则使用内部计数器计数到24999999拉高一个周期电平,当电平被拉高的时候,进行LED灯电平的设置,每次检测到高电平,就进行一位LED灯的设置,进行循环设置,就形成了流水灯的设计。 测试代码  

    2024年02月15日
    浏览(30)
  • FPGA学习——点亮流水灯

    在FPGA开发板中,一般板载LED默认高电平点亮,因此我们只需要将想要亮起的LED赋值为1即可。 本入门实验要求为每隔1s开发板上的LED轮流亮起,因此我们需要一个1s的计数器, 由于开发板晶振时钟为50MHz,因此我们计数50_000_000即为1s。 注意:为了后续方便仿真所以这里MAX设置

    2024年02月13日
    浏览(38)
  • ARM实验5-流水灯仿真实验

    一、实验名称:流水灯仿真实验 二、实验目的: 掌握ARM处理器的输入输出接口。 掌握通过MDK提供的仿真功能,实现系统的仿真运行。 通过该编程实验,进一步巩固和强化学生ARM汇编编程的能,ARM应用程序框架,培养学生实际应用的能力。 三、实验内容: 按下面电路图,编

    2024年02月15日
    浏览(27)
  • 按键控制流水灯方向——FPGA

    环境: 1、Quartus18.0 2、vscode 3、板子型号:EP4CE6F17C8 要求: 按键1按下,流水灯从右开始向左开始流动,按键2按下,流水灯从左开始向右开始流动,按键3按下LED每隔1s进行亮灭,按键4按下LED常亮。 “自锁”是指开关能通过锁定机构保持某种状态(通或断),“轻触”是说明操

    2024年02月07日
    浏览(36)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包