4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

这篇具有很好参考价值的文章主要介绍了4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog


目录

VL37 偶数分频

VL40 奇数分频(占空比50%)

VL42 奇数分频(任意无占空比)

VL41 任意小数分频(较难)


VL37 偶数分频

题目介绍

请使用D触发器设计一个同时输出2/4/8分频的50%占空比的时钟分频器;注意rst为低电平复位。

信号示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

波形示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

输入描述:

输入信号 clk_in、rst 
类型 wire

输出描述:

输出信号 clk_out2、clk_out4、clk_out8
类型  wire

思路分析

题目中说要使用D触发实现分频,我们在此基础上再写另一种实现方法。

1、d触发器实现

        信号clk_out2在时钟信号clk_in上升沿时进行状态翻转,从而实现二分频。那么四分频、八分频原理类似,输出信号分别在时钟信号clk_out2、clk_out4的上升沿时进行状态翻转。

2、计数器实现

        首先,定义一个3位宽的计数器信号cnt,然后使其在从clk_in上升沿时进行计数,计数范围为1-4。其次,二分频clk_out2、四分频clk_out4、八分频clk_out8等输出信号分别选择合适的计数值进行翻转,从而实现分频。例如,八分频clk_out8在cnt=1时进行翻转,四分频clk_out4在cnt=1和cnt=3时进行翻转,二分频clk_out2在cnt值为1、2、3、4时进行翻转。

代码实现

方法1:

//*************cnt实现***********//	
/*
reg [2:0] cnt;
reg clk_div2;
reg clk_div4;
reg clk_div8;

always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt <= 3'b0;
	else if(cnt == 3'd4)
		cnt <= 3'b1;
	else	
		cnt <= cnt + 1'b1;
end

//可以合在一个always块里,也可以拆开写;
always @(posedge clk_in or negedge rst)begin
	
	//二分频
	if(!rst)
		clk_div2 <= 1'b0;
	else if(cnt == 3'd1 || cnt == 3'd2 || cnt == 3'd3 || cnt == 3'd4)
		clk_div2 <= ~clk_div2;
	else 
		clk_div2 <= clk_div2;

	//四分频
	if(!rst)
		clk_div4 <= 1'b0;
	else if(cnt == 3'd1 || cnt == 3'd3)
		clk_div4 <= ~clk_div4;
	else 
		clk_div4 <= clk_div4;

	//八分频
	if(!rst)
		clk_div8 <= 1'b0;
	else if(cnt == 3'd1)
		clk_div8 <= ~clk_div8;
	else 
		clk_div8 <= clk_div8;
end

assign clk_out2 = clk_div2;
assign clk_out4 = clk_div4;
assign clk_out8 = clk_div8;
*/
//*************cnt实现***********//	

方法2:

//*************D触发器实现***********//

reg clk_div2;
reg clk_div4;
reg clk_div8;

always @(posedge clk_in or negedge rst)begin
	if(!rst)
		clk_div2 <= 1'b0;
	else
		clk_div2 <= ~clk_div2;
end

always @(posedge clk_out2 or negedge rst)begin
	if(!rst)
		clk_div4 <= 1'b0;
	else
		clk_div4 <= ~clk_div4;
end

always @(posedge clk_out4 or negedge rst)begin
	if(!rst)
		clk_div8 <= 1'b0;
	else
		clk_div8 <= ~clk_div8;
end

assign clk_out2 = clk_div2;
assign clk_out4 = clk_div4;
assign clk_out8 = clk_div8;

//*************D触发器实现***********//

仿真文件

仿真图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发
基于cnt计数法实现分频
设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发
基于D触发器(dff)实现分频

VL40 奇数分频(占空比50%)

题目介绍

设计一个同时输出7分频的时钟分频器,占空比要求为50%,注意rst为低电平复位;

信号示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

波形示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

输入描述:

输入信号 clk_in rst 
类型 wire

输出描述:

输出信号  clk_out7
类型  wire

思路分析

        实现7分频且占空比为50%,也就是高低电平分别为3.5个时钟周期。可以用2个7分频计数器(计数时钟沿一个是posedge,一个是negedge)来产生2个信号(高电平为3个clk、低电平为4个clk),然后 对2个相位差半个时钟周期的信号进行或运算实现占空比为50%的7分频输出信号。

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

代码实现

利用2个7分频计数器实现;一个是上升沿跳变,一个是下降沿跳变。

注:

问:关于为啥这两个计数器的技术状态是1、2、3、4、5、6、7;而不是0、1、2、3、4、5、6?

答:是因为复位时,计数器的初始状态为0,已经占据一个0状态。严格来说,不应该再用这个状态了。平时这两种用法都可以,但是牛客网的判定是0-6状态有错误,所以本文在这里用1-7状态。

module odo_div_or(
    input    wire  rst ,
    input    wire  clk_in,
    output   wire  clk_out7
  );

//*************code***********//	

reg [2:0] 	cnt_pose;
reg [2:0] 	cnt_nege;
reg 		div_pose3;
reg 		div_nege3;

//计数器
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt_pose <= 1'b0;
	else if(cnt_pose == 3'd7)
		cnt_pose <= 1'b1;
	else
		cnt_pose <= cnt_pose + 1'b1;
end

always @(negedge clk_in or negedge rst)begin
	if(!rst)
		cnt_nege <= 1'b0;
	else if(cnt_nege == 3'd7)
		cnt_nege <= 1'b1;
	else
		cnt_nege <= cnt_nege + 1'b1;
end

//3分频(上升沿跳变)
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		div_pose3 <= 1'b0;
	else if(cnt_pose == 3'd3 || cnt_pose == 3'd6)
		div_pose3 <= ~div_pose3;
	else
		div_pose3 <= div_pose3;
end

//3分频(下降沿跳变)
always @(negedge clk_in or negedge rst)begin
	if(!rst)
		div_nege3 <= 1'b0;
	else if(cnt_nege == 3'd3 || cnt_nege == 3'd6)
		div_nege3 <= ~div_nege3;
	else
		div_nege3 <= div_nege3;
end

assign clk_out7 = div_pose3 | div_nege3;

//*************code***********//		
endmodule	

仿真文件

仿真图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

VL42 奇数分频(任意无占空比)

题目介绍

请设计一个同时输出5分频的时钟分频器,本题对占空比没有要求

注意rst为低电平复位

信号示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

波形示意图:图放的是50%占空比的。

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

输入描述:

输入信号 clk_in rst 
类型 wire

输出描述:

输出信号  clk_out5
类型  wire

思路分析

这道题比占空比50%的奇数分频要简单很多,而且答案并不唯一。不过Testbench只限定了一种分频结果,实测高3低2会出错。并且题目要求同时输出,也就是说输入拉高时输出也要拉高,思路是设置一个计数器cnt,计数范围0-4,每个clk_in周期加1。所以在计数器为0时就开始翻转,以及在2时也进行翻转

代码实现

reg [2:0] 	cnt_pose;
reg 		div_pose3;

//计数器
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt_pose <= 1'b0;
	else if(cnt_pose == 3'd4)
		cnt_pose <= 1'b0;
	else
		cnt_pose <= cnt_pose + 1'b1;
end


//高电平3个 低电平2个牛客网才判定正确,所以为了过关,只能这样了。
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		div_pose3 <= 1'b0;
	else if(cnt_pose == 3'd2 || cnt_pose == 3'd0)
		div_pose3 <= ~div_pose3;
	else
		div_pose3 <= div_pose3;
end

assign clk_out5 = div_pose3;

仿真文件

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

VL41 任意小数分频(较难)

题目介绍

请设计一个可以实现任意小数分频的时钟分频器,比如说8.7分频的时钟信号;

注意rst为低电平复位

提示:其实本质上是一个简单的数学问题,即如何使用最小公倍数得到时钟周期的分别频比。设小数为nn,此处以8.7倍分频的时钟周期为例。首先,由于不能在硬件上进行小数的运算(比如2.1个时钟这种是不现实的,也不存在3.3个寄存器),小数分频不能做到分频后每个时钟周期都是源时钟的nn倍,也无法实现占空比为1/2,因此,考虑小数分频,其实现方式应当为53个clkout时钟周期是10个clkin时钟周期的8.7倍。

信号示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

波形示意图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

输入描述:

        输入信号 clk_in rst 
        类型 wire

输出描述:

        输出信号  clk_out
        类型  wire

思路分析

“Leonico”大佬写的很好,就直接引用了。牛客网的讲解链接:任意小数分频_牛客题霸_牛客网

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

代码实现

(2023-01-03 23:36)真的忍不了,再吐槽一下牛客网,题目判定太片面,即使最终结果对了系统也判定错误。最初的代码是0123为高电平,4567为低电平,想着占空比50%看着舒服点,反正不影响小数分频的结果,看着系统报错和输出波形,改了2个小时代码,先是自己用vivado仿真,仿真结果对着,但是系统还是判定错误,最后看别人的代码,发现也没啥不太一样的,咋回事呢。最后终于找到原因,如下图红框:必须要控制电平翻转的计数器数值一模一样,3不行,必须是4才行;例如8分频的信号,只有01234为高电平,567为低电平才行,太坑爹了。那这样以后写代码全靠运气,看某些数值是不是与出题人恰巧碰上?

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

module div_M_N(
 input  wire clk_in,
 input  wire rst,
 output wire clk_out
);
parameter M_N = 8'd87; 
parameter c89 = 8'd24; // 8/9时钟切换点
parameter div_e = 5'd8; //偶数周期   3*8=24
parameter div_o = 5'd9; //奇数周期	 7*9=63

//*************code***********//
reg [2:0] 	cnt_8;//8分频计数
reg [3:0] 	cnt_9;//9分频计数
reg [7:0] 	cnt_87;//87个clk计数
reg 		clk_out_r;

assign clk_out = clk_out_r;

/*************总计数器******************/
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt_87 <= 1'b0;
	else if(cnt_87 == M_N-1'b1) //87
		cnt_87 <= 1'b0;
	else
		cnt_87 <= cnt_87 + 1'b1;
end

/*************8分频计数器******************/
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt_8 <= 3'b0;
	else if(cnt_8 == div_e-1'b1 || (cnt_87 > c89-1'b1))	
		cnt_8 <= 3'b0;
	else
		cnt_8 <= cnt_8 + 1'b1;
end

/*************9分频计数器******************/
always @(posedge clk_in or negedge rst)begin
	if(!rst)
		cnt_9 <= 4'b0;
	else if(cnt_9 == div_o-1'b1 || (cnt_87 <= c89-1'b1))
		cnt_9 <= 4'b0;
	else
		cnt_9 <= cnt_9 + 1'b1;
end


always @(posedge clk_in or negedge rst)begin
	if(!rst)
		clk_out_r <= 1'b0;
	else if(cnt_87 <= c89)begin		//8分频	
		if(cnt_8 == 3'd0 || cnt_8 == (div_e >> 1))
			clk_out_r <= ~clk_out_r;
		else
			clk_out_r <= clk_out_r;
		end
	else begin						//9分频	
		if(cnt_9 == 4'd0 || cnt_9 == (div_o >> 1))	//在0和4的时候翻转
			clk_out_r <= ~clk_out_r;
		else
			clk_out_r <= clk_out_r;
		end
end

//*************code***********//
endmodule

波形图:

设计一个时钟分频电路,要求如下: (1)输入时钟clki输入复位信号rst_n,低有效,输入,verilog,fpga开发

 文章来源地址https://www.toymoban.com/news/detail-796112.html

到了这里,关于4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【FPGA & Verilog】奇数分频器 (50%)

    2.1 设计输⼊ 1. 模块名称:FrequencyDivider 2. 输⼊输出:CLK、RSTn、CLK_15 2.2 引脚约束 1. 输⼊端 ⾃定义 2. 输出端 ⾃定义 2.3 设计要求 1. 输出时钟的周期是输⼊时钟的15倍(15分频器) 2. 分别实现 7/15 占空⽐和 50% 占空⽐两种分频⽅式 3. 使⽤RTL View分析电路的区别 2.4 电路仿真1.

    2024年02月03日
    浏览(28)
  • FPGA基础设计(二):任意分频器(奇数,偶数,小数)

    FPGA开发板上一般只有一个晶振,即一种时钟频率。数字系统设计中,时间的计算都要以时钟作为基本单元,对基准时钟进行不同倍数的分频而得到各模块所需时钟频率,可通过Verilog代码实现;倍频可通过锁相环【PLL】实现。 把输入信号的频率变成成倍的低于输入频率的输出

    2024年02月01日
    浏览(33)
  • FPGA学习——实现任意倍分频器(奇数/偶数倍分频器均可实现)

    在FPGA(可编程逻辑门阵列)中,分频器是一种用于将时钟信号的频率降低的电路或模块。它可以根据输入的时钟信号生成一个较低频率的输出时钟信号。 常见的分频器可以按照固定比例来进行分频,例如将输入时钟频率除以2、除以4等。因此,如果输入时钟信号的频率为10

    2024年02月05日
    浏览(28)
  • fpga时钟分频——奇数分频

    相比偶数分频,奇数分频相对复杂,下面我总结一下如何用verilog实现。以N(奇数)为例。 总结如下: a. 上升沿计数器和信号寄存器 : 设置一个计数长度为N的上升沿计数器(pos_cnt),并且设置一个信号寄存器(pos_clk)。 当上升沿计数器计数到时,信号寄存器翻转。 当上升沿计

    2024年04月17日
    浏览(47)
  • verilog实现分频(奇数分频和偶数分频,通用版)

    大家好,最近写了一些分频器的设计,发现奇数分频和偶数分频是比较常用分频效果,所以写了一个比较简单的分频代码, 适用于奇数分频和偶数分频(不考虑占空比) ,代码已经经过测试,需要可自取。 一、上代码 二、上验证代码 三、上仿真结果 图1:6分频效果(mult

    2024年02月13日
    浏览(26)
  • 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字IC经典电路设计 经典电路设计是数字IC设计里基础中的基础,盖大房子的第一部是打造结实可靠的地基,每一篇笔者都会分门别类给出设计原理、设计方法、verilog代码、Testbench、仿真波形。然而实际的数字IC设计过程中考虑的问题远多于此,通过本系列希望大家对数字I

    2024年02月08日
    浏览(30)
  • 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    目录 一、前言 二、偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三、奇数分频 3.1 占空比非50%奇数分频 3.2 占空比50%奇数分频 3.3 Verilog代码 3.4 Testbench 3.5 仿真结果 四、小数分频 4.1 双模前置分频法 4.2 Verilog代码 4.3 Testbench 4.4 仿真结果 五、半整

    2024年02月04日
    浏览(37)
  • STM32实现PWM输出频率1kHz占空比50%的方波和呼吸灯

    板子:野火指南者 芯片:STM32f103VET6 PWM通道:TIM3的通道1和通道3 GPIO:PA6和PB0 本文主要讲需要怎么做,简要讲解原理,提供全部代码,有利于快速上手。 打开STM32f103VET6的芯片数据手册,打开目录Pinouts and pindescriptions——High-density STM32F103xC/D/E pin definitions,如图所示。 这里选

    2024年02月05日
    浏览(41)
  • 「Verilog学习笔记」时钟分频(偶数)

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

    2024年02月03日
    浏览(30)
  • 「Verilog学习笔记」任意奇数倍时钟分频

    专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

    2024年01月23日
    浏览(31)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包