【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程

这篇具有很好参考价值的文章主要介绍了【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

前言

很多INTEL(ALTERA) IP生成的时候会自带例程,如LVDS SERDES IP,在菜单Generate中可以选择生成官方例程。

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

之后会在IP所在目录下生产【lvds_0_example_design】文件夹,但在这个文件夹中并没有FPGA工程。

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

例程在哪?

查看readme.txt。

This is the readme.txt file for the example design file set of the Altera
LVDS SERDES IP. Files in this directory allow you to do
the following:

1) Create a Quartus Prime project that instantiates an LVDS 
   interface (same configuration as what you specified in generation).
   You can optionally specify the target device and pin location
   assignments, run a full compilation using the Quartus Prime software,
   verify timing closure, and test the interface on your board using the
   programming file generated by the Quartus Prime assembler.
      
2) Create simulation projects for various supported simulators. The
   simulation projects instantiate an LVDS interface (same
   configuration as what you specified in the MegaWizard),
   and an example test bench that exercises the interface.
   The projects are generated so you can run simulation and use the
   results as a way to understand the behavior of the LVDS
   interface IP. This flow only supports functional simulation. Timing
   simulation is not supported, and you must use static timing analysis
   provided by the TimeQuest software to verify timing closure.
      
Notes:
   In external PLL mode, an example external PLL is provided in a
   standalone QSYS file (lvds_external_pll.qsys).
   This can be modified and used in the user's design for external
   PLL mode. 
   In the synthesis example design, there is an example of how to
   connect the external PLL to the LVDS IP including a top-level
   SDC file.
   
   The file under the qsys_interface_bridge directory:
   qsys_interface_bridge_hw.tcl defines a custom component to help
   connect LVDS to the PLL in QSYS. It simply allows the connection
   between non-matching QSYS interfaces (i.e. clock to conduit).
   Make sure these files are added to the project directory if you 
   wish to modify either the synthesis or simulation QSYS systems.
   The qsys_interface_bridge is not needed if using the provided PLL as a
   RTL instantiation and connecting the components manually in RTL 
   rather than a QSYS system.

###############################################################################
Generating a Quartus Prime Example Design
###############################################################################
For information about supported arguments, run:
   quartus_sh -t make_qii_design.tcl -help
   
To generate a Quartus Prime example design, run:
   quartus_sh -t make_qii_design.tcl 
   
To specify an exact device to use, run:   
   quartus_sh -t make_qii_design.tcl -device [device_name]
   
The generated example design is stored under the "qii" sub-directory.
To re-generate the design, simply delete it and re-run the commands above.


To generate non-default example designs like the DPS (Dynamic Phase Shift),
combined TX/RX or others:
    quartus_sh -t make_qii_design.tcl -device [device_name] -system [system_name]

Note: [system_name] is the name of the QSYS system for the given example design.
      For the default "ed_synth.qsys" the system name is "ed_synth".

The generated example design is stored under the "qii_<system_name>" sub-directory.
   
###############################################################################   
Generating a Simulation Example Design
###############################################################################   
  
To generate simulation example designs for a Verilog or a mixed-language
simulator, run:

   quartus_sh -t make_sim_design.tcl VERILOG
   
To generate simulation example designs for a VHDL-only simulator, run:

   quartus_sh -t make_sim_design.tcl VHDL
   
The generated example designs for various simulators are stored under the "sim"
sub-directory. For example, to run simulation using Synopsys' VCS, run:

   cd sim/synopsys/vcs
   ./vcs_setup.sh

其中提到

To generate a Quartus Prime example design, run:
   quartus_sh -t make_qii_design.tcl 

也就是说运行这句TCL就可以生产例程。 

运行TCL 

1.在当前文件夹新建文本文件,更改后缀名为bat

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

2.把“quartus_sh -t make_qii_design.tcl ”复制到文本文件。

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

3.双击运行bat文件,会弹出cmd窗口,等待一会。

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

4.会在当前目录下产生文件夹【qii】 ,这个就是例程的FPGA工程。

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

TCL无法运行怎么办

大概率是系统环境变量设置错误。需要在环境变量中是否添加了Quartus II。

系统属性 --- 环境变量 --- 用户变量 --- Path,添加

*\quartus\bin64

【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程,INTEL(ALTERA) FPGA,FPGA,fpga开发,TCL,例程

延展阅读:

震惊!FPGA配置居然一键完成! - 知乎文章来源地址https://www.toymoban.com/news/detail-799928.html

到了这里,关于【INTEL(ALTERA)】如何使用Tcl打开quartus IP自带的例程的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【Intel/Altera】 全系列FPGA最新汇总说明,持续更新中

            2023年11月14日英特尔 FPGA中国技术日, Intel刚发布了新的FPGA系列 ,官网信息太多,我这里结合以前的信息, 简单汇总更新一下,方便大家快速了解Intel/Altera FPGA家族。   目录 前言 Altera和Intel 型号汇总 1. Agilex 系列 1.1英特尔® Agilex™ 7 FPGA 和 SoC FPGA 1.2英特尔® Ag

    2024年02月04日
    浏览(43)
  • 免费的modelsim安装教程(Intel modelsim altera 的初学者)

    intel官方软件的地址(https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/model-sim.html)需要注册。 进入下载页面,没有单独的modelsim的下载项,需要先进入quartus pro的下载页面中: 进入之后,选择individual Files,将关于modelsim有关的三个文件均下载下来,放在同一个文件

    2024年02月07日
    浏览(31)
  • FPGA时序约束(二)利用Quartus18对Altera进行时序约束

    FPGA时序约束(一)基本概念入门及简单语法 最近由于不懂时序约束,在高速信号采集上面吃了很多亏,不知道系统工作异常的原因是什么。记录一下查到的资料,有些许自己的理解,可能有误。(主要是小梅哥及《FPGA时序约束与分析(吴厚航)》) 在程序编译之后,会出现

    2024年02月05日
    浏览(32)
  • Quartus 13.1与Modelsim或Modelsim Altera进行波形联合仿真

    Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行

    2024年02月11日
    浏览(34)
  • Quartus安装Altera USB-Blaster安装驱动程序出现问题(代码39)的解决办法

    在Windows11的平台下,Quartus安装Altera USB-Blaster驱动时会出现问题,有如下提示: “Windows在安装设备的驱动程序时遇到问题” “Windows已找到设备的驱动程序,但在尝试安装它们时遇到错误。” “Windows无法加载这个硬件的设备驱动程序。驱动程序可能已损坏或不见了” 如图所

    2024年02月11日
    浏览(29)
  • quartus工具篇——PLL IP核的使用

    PLL(Phase-Locked Loop,相位锁环)是FPGA中非常重要的时钟管理单元,其主要功能包括: 频率合成 - PLL可以生成比输入时钟频率高的时钟信号。 频率分频 - PLL也可以输出分频后的较低频率时钟。 减小时钟抖动 - PLL可以过滤输入时钟中的噪声和抖动。 锁相输出 - PLL可以使多个时钟保持一

    2024年02月07日
    浏览(28)
  • 用QUARTUS13.0自带仿真工具进行仿真

    quartus 13.0 自带仿真的使用 1、选中一个文件,右键设为顶层文件,编译它。 2、新建一个波形文件 3、打开波形文件,双击左侧空白处,再单击鼠标所处的键。 4、点击LIST菜单,并把信号从左面加到右面 5、这个比较关键,点击simulation,选options, 6、把输入信号加进去,点击仿真

    2024年02月12日
    浏览(34)
  • 在quartus中使用FFT IP核最全教程(从入门到放弃)

      首先需要把需要的器材准备好,我使用的是quartus18.0,并且要使用IP核被破解的版本,不然无法使用其中的FFT和NCO,一定要注意,quartus对于版本非常敏感,一定要严格对应好版本 1、带IP的quartus18.0 2、modelsim,这个modelsim不能使用自己下载的modelsim,要去官网下载,对应版本的

    2024年02月14日
    浏览(27)
  • Altera FPGA 储存单元IP核之RAM、FIFO

         只读存储器,系统上电后数据就被写入ROM,运行过程中只能从ROM中读取数据,而不能改变ROM中的数值。      随机存取储存器,可以随时把数据写入任一指定地址的储存单元,也可以随时从任一指定地址中读取数据。其读写速度是由时钟频率决定的。RAM主要用来存放程

    2023年04月08日
    浏览(34)
  • 关于FPGA如何快速生成模块的例化模板(实用)

    关于FPGA如何快速生成模块的例化模板(实用) 语言 :Verilg HDL 、VHDL EDA工具:ISE、Vivado、Quartus II 一、引言 在FPGA开发中,最常用的设计方式是 自顶层向下的模块化设计 ,将设计要求分成一个个的子模块,负责实现不通的功能,顶层负责调用这些子模块,并负责子模块之间的

    2023年04月08日
    浏览(27)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包