【机组】通用寄存器单元实验的解密与实战

这篇具有很好参考价值的文章主要介绍了【机组】通用寄存器单元实验的解密与实战。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

🌈个人主页:Sarapines Programmer
🔥 系列专栏:《机组 | 模块单元实验》
⏰诗赋清音:云生高巅梦远游, 星光点缀碧海愁。 山川深邃情难晤, 剑气凌云志自修。

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

目录

🌺一、 实验目的

🌼二、 实验内容

🌻三、 实验详情

实验1:数据输入通用寄存器

实验2:寄存器内容无进位位左移实验

实验3:寄存器内容无进位位右移实验

🍀四、 实验步骤

实验1  数据输入通用寄存器

实验2  寄存器内容无进位位左移实验

实验3  寄存器内容无进位位右移实验

🌿五、 实验结果

🌷六、 实验体会

📝总结


🌺一、 实验目的

  1. 掌握寄存器组成及硬件电路;
  2. 掌握通用寄存器单元的工作原理运用。

🌼二、 实验内容

  1. 数据输入通用寄存器;
  2. 寄存器内容无进位位左移实验;
  3. 寄存器内容无进位位右移实验。

🌻三、 实验详情

实验1:数据输入通用寄存器

● 把RA-IN(8芯的盒型插座)与右板上二进制开关单元中的J01插座相连(对应二进制开关H16~H23),把RA-OUT(8芯的盒型插座)与数据总线上的DJ6相连。

● 把RACK连到脉冲单元的PLS1,把ERA、X0、X1、RA-O、M接入二进制拨动开关。(请按下表接线)。

控制信号

接入开关位号

RACK

PLS1    孔

X0

H12      孔

X1

H11      孔

ERA

H10     孔

RA-O

H9       孔

M

H4       孔

接线图示:

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

● 二进制开关H16~H23作为数据输入,置42H(对应开关如下表)。

H23

H22

H21

H20

H19

H18

H17

H16

数据总线值

D7

D6

D5

D4

D3

D2

D1

D0

8位数据

0

1

0

0

0

0

1

0

42H

置各控制信号如下:

H12

H11

H10

H9

H4

X0

X1

ERA

RA-O

M

1

1

0

0

1

● 按启停单元中的运行按钮,置实验平台为运行状态。

● 按脉冲单元中的PLS1脉冲按键,在RACK上产生一个上升沿,把42H打入通用寄存器。

●此时数据总线上的指示灯IDB0~IDB7 应该显示为42H。由于通用寄存器内容不为0,所以LED(ZD)灯灭。


实验2:寄存器内容无进位位左移实验

● 按照实验1数据输入的方法把数据42H打入通用寄存器中,数据总线上显示42H。实现左移功能,置各控制信号如下:

H12

H11

H10

H9

H4

X0

X1

ERA

RA-O

M

0

1

0

0

1

● 按启停单元中的运行按钮,置实验平台为运行状态。

● 按脉冲单元中的PLS1脉冲按键,在RACK上产生一个上升沿,使通用寄存器中的值左移。

● 此时数据总线上的LED指示灯IDB0~IDB7 应该显示为84H。由于通用寄存器内容不为0,所以ZD(LED)灯灭。

● 按脉冲单元中的PLS1脉冲按键,使通用寄存器中的值左移,此时数据总线上的LED指示灯IDB0~IDB7应该显示为09H。若一直按PLS1,在总线上将看见数据循环左移的现象。


实验3:寄存器内容无进位位右移实验

● 按照实验1数据输入的方法把数据42H打入通用寄存器中,数据总线上显示42H。实现右移功能,置各控制信号如下:

H12

H11

H10

H9

H4

X0

X1

ERA

RA-O

M

1

0

0

0

1

● 按启停单元中的运行按钮,置实验平台为运行状态。

● 按脉冲单元中的PLS1脉冲按键,在RACK上产生一个上升沿,使通用寄存器中的值右移。

● 此时数据总线上的LED指示灯IDB0~IDB7 应该显示为21H。由于通用寄存器内容不为0,所以ZD(LED)灯灭。

● 按脉冲单元中的PLS1脉冲按键,使通用寄存器中的值右移,此时数据总线上的LED指示灯IDB0~IDB7应该显示为90H。若一直按PLS1,在总线上将看见数据循环左移的现象。

附:通用寄存器的逻辑

通用寄存器(8位并入并出移位寄存器)

CLR

X1   X0

CLK

SL  SR

QA~AH

0

X    X

X

X   X

全 0

1

X    X

0

X   X

保持不变

1

1     1

上升沿

X   X

并行接数A~H

1

0     1

上升沿

X   0

右移 移入0

1

0     1

上升沿

X   1

右移 移入1

1

1     0

上升沿

0   X

左移 移入0

1

1     0

上升沿

1   X

左移 移入1


🍀四、 实验步骤

实验1  数据输入通用寄存器

(1)step1:把RA-IN、RA-OUT分别与二进制开关单元JO1和总线DJ6相连,并把DACK使用连接线接到脉冲单元的PLS1上,具体接线如表1。

表1

控制信号

接入开关位号

RACK

PLS1

X0

H12

X1

 H11

ERA

 H10

RA-0

H9

M

H4

(2)step2:二进制开关H16至H23作为数据输入,置42H(对应开关如表2)。

表2

H23

H22

H21

H20

H19

H18

H17

H16

数据总线值

D7

D6

D5

D4

D3

D2

D1

D0

8位数据

0

1

0

0

0

0

1

0

42H

置各控制信号如表3.

表3

H12

H11

H10

H9

H4

X0

X1

ERA

RA-0

M

1

1

0

0

1

(3)step3:启动机箱的运行键,按下PLS1脉冲按键,在D1CK产生上升沿,把42H打入通过寄存器中,运算结果在数据总线上的指示灯IDB0-IDB7的LED显示灯应为42H,但由于通用寄存器不为0,所以LED(ZD)灯灭。


实验2  寄存器内容无进位位左移实验

(1)step1:在实验1基础上,置各信号如表4。

表4

H12

H11

H10

H9

H4

X0

X1

ERA

RA-0

M

0

1

0

0

1

(2)step2:启动机箱的运行键,按下PLS1脉冲按键,在D1CK产生上升沿,运算结果在数据总线上的指示灯IDB0-IDB7的LED显示灯应为84H,但由于通用寄存器不为0,所以LED(ZD)灯灭。

(3)step3:启动机箱的运行键,再次按下PLS1脉冲按键,运算结果在数据总线上的指示灯IDB0-IDB7的LED显示灯应为09H,若一直按PLS1,可发现数据循环左移现象(通过灯的变化判断)。


实验3  寄存器内容无进位位右移实验

(1)step1:在实验1基础上,置各信号如表5。

表5

H12

H11

H10

H9

H4

X0

X1

ERA

RA-0

M

1

0

0

0

1

(2)step2:启动机箱的运行键,按下PLS1脉冲按键,在D1CK产生上升沿,运算结果在数据总线上的指示灯IDB0-IDB7的LED显示灯应为21H,但由于通用寄存器不为0,所以LED(ZD)灯灭。

(3)step3:启动机箱的运行键,再次按下PLS1脉冲按键,运算结果在数据总线上的指示灯IDB0-IDB7的LED显示灯应为90H,若一直按PLS1,可发现数据循环右移现象(通过灯的变化判断)。


🌿五、 实验结果

实验1  数据输入通用寄存器

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

实验2  寄存器内容无进位位左移实验

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

实验3  寄存器内容无进位位右移实验

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验


🌷六、 实验体会

  1. 通过使用通用寄存器实现置42H,完成数据左移和右移功能,我明白了通用寄存器的硬件工作原理,以及通用寄存器的组成。
  2. 通过完成不带进位移位实验,最初在机箱实验并未发现数据总线的灯的变化,其中灯始终全亮但在IDB0-IDB7的LED显示灯变化正确,在按下停止按钮并重新运行时,可发现数据总线灯的变化,原因在于设备反应可能因为年代原因较为迟钝。
  3. 对于实验三,在键入42H后(即第一次实验基础上)设置信号如表5,按下PLS1按键后并未由42H变为21H而是84H,连续三遍实验结果一致,但在其他机箱操作显示正确,所以正确的机箱设备也是实验成功的重要因素。

📝总结

计算机组成原理领域就像一片广袤而未被完全探索的技术海洋,邀请你勇敢踏足数字世界和计算机组成原理的神秘领域。这是一场结合创造力和技术挑战的学习之旅,从基础概念到硬件实现,逐步揭示更深层次的计算机结构、指令集架构和系统设计的奥秘。渴望挑战计算机组成原理的学习路径和掌握计算机硬件的技能?不妨点击下方链接,一同探讨更多数字技术的奇迹吧。我们推出了引领趋势的💻 计算机组成原理专栏:《机组 | 模块单元实验》,旨在深度探索计算机系统技术的实际应用和创新。🌐💡

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验

【机组】通用寄存器单元实验的解密与实战,# 【机组】单元模块实验,机组,通用寄存器,数据输入,左移实验,右移实验文章来源地址https://www.toymoban.com/news/detail-801484.html

到了这里,关于【机组】通用寄存器单元实验的解密与实战的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 【单周期CPU】LoongArch | 32位寄存器DR | 32位的程序计数器PC | 通用寄存器堆Registers | 32位RAM存储器

    前言: 本章内容主要是演示在vivado下利用Verilog语言进行单周期简易CPU的设计。一步一步自己实现模型机的设计。本章先介绍单周期简易CPU中基本时序逻辑部件设计。 💻环境:一台内存4GB以上,装有64位Windows操作系统和Vivado 2017.4以上版本软件的PC机。 💎本章所采用的指令为

    2024年02月12日
    浏览(35)
  • MIPS寄存器文件设计实验

    学生了解 MIPS text{MIPS} MIPS 寄存器文件基本概念,进一步熟悉多路选择器、译码器、解复用器等 Logisim text{Logisim} Logisim 组件的使用,并利用相关组件构建 MIPS text{MIPS} MIPS 寄存器文件。 利用 Logisim text{Logisim} Logisim 平台构建一个简化的MIPS寄存器文件,内部包含 4 4 4 个 32 32

    2024年02月05日
    浏览(39)
  • 计算机组成原理实验——二、寄存器实验

    1.掌握寄存器堆的工作原理和接口。 2.掌握寄存器堆的实现方法。 3.掌握寄存器堆在微处理器中承担的功能。 设计一32*32bit 的寄存器文件,即32 个 32 位的寄存器文件(寄存器组) –具备两组读端口及一组写端口; –通过读端口可从0~31 号的任意地址读取 数据; –通过写端口可

    2024年02月06日
    浏览(36)
  • FPGA的可编程逻辑单元(LUT和寄存器)

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言 一、pandas是什么? 二、使用步骤 1.引入库 2.读入数据 总结 1.根据PLD器件单片集成度的高低,可将PLD分为低密度可编程逻辑器件和高密度可编程逻辑器件。 2.按器件结构类型划分      

    2024年02月19日
    浏览(40)
  • STM32-ADC电压采样实验(寄存器版)

    STM32F10X系列支持三路ADC,其ADC通道及对应IO口如下表所示: 其能接受的电压输入范围一般为0-3.3V(V REF- ≤ V IN ≤ V REF+ ),因此,如果需要测量超出0-3.3v量程范围的电压数据,需要在外围硬件增加分压电阻,将电路转换到0-3.3V量程范围内再进行采集。 这里用于做ADC采集的引脚使

    2024年02月15日
    浏览(40)
  • 实验四 用集成移位寄存器实现序列检测器

    一、实验要求 用移位寄存器和与非门设计一个 1101 序列检测器。电路连续不停地工作,对 串行输入的序列进行检测,当连续检测 4 个码元符合检测码 1101 时,检测器输出 为 1 ,指示灯亮,其他情况下输出为 0 ,指示灯灭。 二、实验设备 1 . Mini-FPGA 开发板( Cyclone IV 系列

    2024年02月03日
    浏览(30)
  • 实验二 CPU 部件实现之 ALU 和寄存器堆

     1.1设计要求 理解和掌握 CPU 中的算术逻辑运算部件(ALU)和寄存器堆(Register File)的工作原理,并使用 Verilog 和 ModelSim 进行设计和仿真。 1. 使用 Verilog 完成 ALU 的设计,并编写测试仿真文件验证其正确性。要求: ALU 支持 16 位的加、减、与、或以及移位运算。 2. 使用 Veril

    2024年02月01日
    浏览(24)
  • 深度理解STM32的串口实验(寄存器)【保姆级教程】

    USART—通用同步异步收发接收器,是一个串行通信设备,可以和外部设备进行灵活的全双工数据交换,有别于USART还有一个UART(在原来的基础上裁剪掉了同步通信功能(时钟同步)),串行通信一般是以帧格式传输数据,一帧一帧的传。 协议层: 串口通信的一个数据包包含从

    2023年04月15日
    浏览(32)
  • 南京邮电大学电工电子(数电)实验报告——计数器 & 移位寄存器

    1、掌握计数器的逻辑功能及应用方法 2、掌握任意进制计数器的设计方法 3、掌握数字电路多个输出波形相位关系的正确测试方法 4、了解非均匀周期信号波形的测试方法 设计一个分频比N=5的整数分频电路,观察并记录时钟脉冲和输出波形。 选用cb4cle二进制计数器模块,采用

    2024年02月03日
    浏览(61)
  • UVM实战_5_UVM中的寄存器模型

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 本章节主要介绍UVM实战第7章寄存器模型的内容 通常来说,DUT中会有一组 控制端口 ,通过控制端口,可以配置DUT中的寄存器,DUT可以根据寄存器的值来改变行为,这组控制端口就是寄存器配置总线。 暂

    2024年01月23日
    浏览(45)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包