JESD204B知识点

这篇具有很好参考价值的文章主要介绍了JESD204B知识点。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

1.M:几个模拟通道就为几

2.N:ADC和DAC中量化位数:16bit——N=16.

3.N':以半字节及4bit为单位:16bit——N'=4,14bit——N'=4(多余的2bit以CS控制位和T结束位占位)

4:F:每一帧的字节数:2byte——2(一般在器件手册上以OCTET标识)

5:K:多帧包含的帧数:32——32(器件手册一般这样定义Ceil (17 / Number of Octetsper Frame) ≤ Multiframe Size (In Terms of Number of Frames),即Ceil (17 / 6) ≤ K。因此K必须要大于等于3,小青菜哥哥决定K取4。)

6:当FPGA做TX使用时——JESD204B IP核或者也可以配合JESD204b phy使用。

7:当FPGA做RX使用时——单独使用JESD204B IP核。

11.JESD204B的参考时钟tx_core_clk=单条lane的线速度(ip核设置的,一般是器件支持的最大速度)/40   用户决定IP核的core clk是否由glbclk(p/n)管脚输入。当refclk(p/n)频率不等于core clk时,必须外部输入core clk。本篇中的线速率为4.8Gbps,因此core clk为120MHz,所以本人将参考时钟输入频率也调成120MHz,这样IP核只需要一个120MHzd的参考时钟输入接口了。

对于7系列器件,如果IP核的模式为“Include Shared Logic inexample design”,则频率范围为10MHz~200MHz

如果IP核的模式为“Include Shared Logic incore”,则频率范围和Transceiver DRP时钟频率范围相同

13.DRP Clock Frequency:配置页面已经提到过,对于七系列器件,DRP clk和AXI4-Lite clock为同一时钟,所以这里也不可选了,只能为前面定下的80MHz。

14.数据从时钟中恢复(CDR技术,Clock Data Recovery)

jsed204b协议,fpga开发

15.

Clock Data Recovery时钟恢复电路一般是通过PLL电路(鉴相器PD、环路滤波器LF、压控振荡器VCO)实现,其作用是从数据流中准确的恢复出时钟和数据信息。

参考时钟输入到鉴相器(PD),鉴相器比较的是两个相位,一个是参考时钟,一个是数据恢复时钟,两个时钟之间存在两种差异,一种是频率差,另一种是相位差,当恢复时钟相位与参考时钟相位之间存在差异的话会产生一个对应比例的积分值,根据积分值的大小,控制数据时钟提取电路的时钟进行偏移,从而使得数据恢复时钟和参考时钟相位进行对齐,此时认为输出时钟锁定,即所向为认为时钟已经锁定到了所需要的相位或者频点上,就可以作为一个可以使用的时钟。

16.Comma码(K码)

以K28.5为例:

28表示8位数据的后五位为27,即5’b1_1100

5表示的8位数据的前三位为5,即3’b101

故K28.5的二进制形式为:8’b1011_1100,即8’hBC

S(samples)

S表示每一个转换器每一侦中的采样次数。一般情况下,S都是1。 S必须是整数。协议中允许S大于1,但是S等于1的时候可以保证侦时钟和采样时钟相等。比如S=1,则一个500MSPS的转换器,侦时钟也为500M

20.LVDS接口的DAC和204B接口的DAC数据(给DAC芯片)区别在于:

(LVDS接口)rom表出64bit数据/时钟芯片进FPGA时钟——通过oserdes原语将64bit分成4组16bit的然后通过oserdes并转串通过LVDS接口发送给DAC芯片。

,(204B接口)rom表(该表的ceo文件来自于DDS产生的数字信号(DDS ip核的输入时钟(即数据速率),DDS最终产生的波形时钟))——ESD204B IP(input tx_tdata)——JESD204b phy(output txp/n out)出去。通过204B接口发送给DAC芯片

17.子卡工程备注是1.875M——为啥?——此处就是多帧时钟,奇哥一分为二的信号

tx_sysref

输入

JESD204B协议的SYSREF信号,作为确定性延迟的参考信号

18. jesd 204b的IP核输入的数据(tx_tdata)是64bit位宽——来源子卡DAC

19.jesd 204b的IP核输出(tx_tready)——代表输入 jesd 204b的IP的64bit数据有效

20.以GSM019 (12GHZ_DAC来说):RX需要向TX输入syncout同步信号,根据参考工程一直连接至JESD204IP的tx_sync(途中经过IBUFDES)

21.jesd204 IP核的AXI4-Lite配置时钟:默认为100MHz。与JESD204b phy中的DPR时钟一样。

22.线速率的计算:N’*4*采样频率*(10/0.8)——总的线速率/lane的条数——每条lane的线速率

23.JESD204B ip核Reference Clock=每条lane的线速率/40(eg:120MHZ)

背景:ADS54j60(ADC)/JESD204 IP核——shared logic in  example design/JESD204 PHY IP核——shared logic in  the core
JESD204 IP核
.rx_core_clk(rx_core_clk),                  //input wire rx_core_clk逻辑输入时钟,频率为单条线速度的1/40

 .rx_reset(rx_reset),                              // input wire rx_reset 来源于外部逻辑
 
.rx_reset_gt(reset_gt),                          // output wire rx_reset_gt 输出到Jesd204_PHY的复位信号
   
.rx_reset_done(rx_reset_done),                    // input wire rx_reset_done Jesd204_PHY已复位完成标志信号

.rx_aresetn(rx_aresetn),                          // output wire rx_aresetn AXI4-Stream 复位,低有效,和发送数据逻辑相关。

  .s_axi_aclk(100hmz),                          // input wire s_axi_aclk AXI4-Lite时钟,源于JESD204 IP核的 100hmz 配置由逻辑产生
  
 .s_axi_aresetn(~rx_reset),                    // input wire s_axi_aresetn AXI4-Lite控制逻辑复位,与rx_reset相关。
  
JESD204 PHY IP核
 .tx_core_clk(tx_core_clk),                //input wire tx_core_clk逻辑输入时钟,频率为单条线速度的1/40
 .rx_core_clk(rx_core_clk),                //input wire rx_core_clk逻辑输入时钟,频率为单条线速度的1/40
 
   .tx_sys_reset(rx_reset),                          // input wire tx_sys_reset 来源于外部逻辑
  .rx_sys_reset(rx_reset),                          // input wire rx_sys_reset 来源于外部逻辑
  
    .tx_reset_gt(reset_gt),                            // input wire tx_reset_gt 来源于JESD204 IP核
  .rx_reset_gt(reset_gt),                            // input wire rx_reset_gt   来源于JESD204 IP核
  
    .rx_reset_done(rx_reset_done),                        // output wire rx_reset_done 输出到Jesd204 IP核的复位完成标志信号
  //逻辑模块
 . tx_core_clk(tx_core_clk)                 //output tx_core_clk来源于BUFG——IBUFDES_GET2——高速bank的参考时钟输入
 .rx_reset(rx_reset)                        //output rx_reset
   .s_axi_aclk(100hmz),                     //output 100hmz文章来源地址https://www.toymoban.com/news/detail-803678.html

到了这里,关于JESD204B知识点的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • 高速电路设计系列分享-熟悉JESD204B(下)

    目录 概要 整体架构流程 技术名词解释 技术细节 1.物理层 小结 随着高速ADC跨入GSPS范围,与FPGA(定制ASIC)进行数据传输的首选接口协JESD204B。为了捕捉频率范围更高的RF频谱,需要宽带RFADC。在其推动下,对于能够捕捉更宽带宽并支持配置更灵活的SDR〈软件定义无线电)平台的

    2024年02月11日
    浏览(34)
  • AD9680之JESD204B接口2路、4路、8路的14bit 500MSPS/1GSPS/1.25GSPS采样率子卡的中文版本设计及调试经验资料分享

    板卡概述: 【FMC155】 FMC155 是一款基于 VITA57.1 标准的,实现 2 路 14-bit、500MSPS/1GSPS/1.25GSPS 直流耦合 ADC 同步采集 FMC 子卡模 块。 该模块遵循 VITA57.1 规范,可直接与 FPGA 载卡配合使用,板 卡 ADC 器件采用 ADI 的 AD9680 芯片,该芯片具有两个模拟输入通道和两个 JESD204B 输出数据通

    2024年02月04日
    浏览(33)
  • AD9680+JESD204B接口+FPGA FMC高速率数据采集板卡

    板卡概述: 【FMC_XM155】 FMC_XM155 是一款基于 VITA57.1 标准的,实现 2 路 14-bit、500MSPS/1GSPS/1.25GSPS 直流耦合 ADC 同步采集 FMC 子卡模 块。 该模块遵循 VITA57.1 规范,可直接与 FPGA 载卡配合使用,板 卡 ADC 器件采用 ADI 的 AD9680 芯片,该芯片具有两个模拟输入通道和两个 JESD204B 输出数

    2024年02月14日
    浏览(43)
  • FPGA的ADC信号采集ADS52J90-JESD204B接口

    本篇的内容是基于博主设计的jesd204b接口的ADC和FPGA的硬件板卡,通过调用jesd204b ip核来一步步在FPGA内部实现高速ADC数据采集,jesd204b协议和xilinx 的jesd204 IP核相关基本知识已在前面多篇文章中详细介绍,这里不再叙述~ 在该篇中,博主试图从一个初学者的视角来记录整个开发流

    2024年02月02日
    浏览(61)
  • FPGA基础知识点

    基础知识 逻辑值 逻辑0:表示低电平,也就是对应电路GND 逻辑1:表示高电平,也就是对应电路VCC 逻辑X:表示未知,有可能是高电平也有可能是低电平 逻辑Z:表示高阻态,外部没有激励信号,是一个悬空状态 数字进制格式 Verilog数字进制格式包括 二进制(b) , 八进制(

    2024年02月03日
    浏览(45)
  • FPGA知识点(不断增加......)

    1.1读写同时进行的FIFO   对于读写同时进行的FIFO,有一个简便计算深度的公式:   FIFO_Depth = Burst_length -Burst_length* (rd_clk/ wr_clk)*(rd_rate) (其中Burst_length可以认为是连续两个cycle可以写入的数据量)   如:同步fifo,100个cycle可以写入80个数据,10个cycle可以读出8个数据,f

    2024年02月13日
    浏览(45)
  • FPGA面试-常用知识点

    本贴记录一下自己找工作过程中顺下来的知识点,如有错误的,请不吝指出,共同进步!   a) FPGA FPGA 基于 LUT ,LUT本质上就是一个RAM,每一个LUT可以看成一个有4位地址线的16x1的RAM。这也是为什么FPGA需要外接一个rom来上电配置。 包括 CLBs , I/O 块, RAM 块和可编程连线 。 在

    2024年04月26日
    浏览(36)
  • 平时积累的FPGA知识点(7)

    平时在FPGA群聊等积累的FPGA知识点,第七期: 解释:ug949 解释:无法单独指定IP的使用方式。 解释:是的,这一条命令对所有层次的子模块都起作用 解释:因为用report_high_fanout_net报告的net个数太少,把选项-max的值放大,同时添加选项fanout_greater_than 或者fanout_lesser_than 解释:

    2024年02月20日
    浏览(51)
  • 平时积累的FPGA知识点(6)

    平时在FPGA群聊等积累的FPGA知识点,第六期: 原因:没做时钟约束,万兆网接口的实现,本质上都是高速serdes,用IP的话,IP会自带约束。 解释:如果是7系列FPGA就要约束,之后的就不用。 解释:上次闪退的时候破坏了run文件夹里的内容,把这个文件夹删除了之后就可以正常

    2024年02月20日
    浏览(36)
  • 平时积累的FPGA知识点(9)

    平时在FPGA群聊等积累的FPGA知识点,第9期: 解释:Xilinx公司的Zynq UltraScale+ RFSoC系列芯片进行项目开发,在某些芯片型号中,自身带有SD-FEC硬核资源,具体查询方式,可在Xilinx官方网站检索DS889手册。 SD-FEC集成块硬核资料可在Xilinx网站下载,PG256便是该IP产品手册。这个SD-FEC

    2024年02月20日
    浏览(37)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包