FPGA时序分析与时序约束(Vivado)

这篇具有很好参考价值的文章主要介绍了FPGA时序分析与时序约束(Vivado)。希望对大家有所帮助。如果存在错误或未考虑完全的地方,请大家不吝赐教,您也可以点击"举报违法"按钮提交疑问。

(1)内部资源

后缀L的这个单元中,会生成锁存器
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

查看布线
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
定位线路
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

(2)传输模型分析(寄存器到寄存器)

时间分析,还要考虑数据变化的建立时间与保持时间
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

经过图上计算可得公式 :
Tsu裕量 = (Tskew + 时钟周期 - Tsu) - (Tco + Tdelay)
Thd裕量 = Tco + Tdelay - Thd
两个时间都大于0,才能保证系统不产生亚稳态。
建立时间裕量、组合逻辑延时决定时钟最高频率

一级逻辑级数延迟约为0.4ns

(3)时序约束操作

1 约束主时钟

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

结果

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

2 约束衍生时钟

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

结果
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

3 设置时钟组

FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

(4)查看报告

  1. 查看统计
    FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束

  2. 有问题分析路径
    FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
    FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
    3.查看详细计算过程
    FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束
    FPGA时序分析与时序约束(Vivado),FPGA,fpga开发,时序分析与约束文章来源地址https://www.toymoban.com/news/detail-804322.html

到了这里,关于FPGA时序分析与时序约束(Vivado)的文章就介绍完了。如果您还想了解更多内容,请在右上角搜索TOY模板网以前的文章或继续浏览下面的相关文章,希望大家以后多多支持TOY模板网!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处: 如若内容造成侵权/违法违规/事实不符,请点击违法举报进行投诉反馈,一经查实,立即删除!

领支付宝红包 赞助服务器费用

相关文章

  • FPGA时序约束与分析(1) --- 时序约束概述

    本系列参考文献 — FPGA时序与约束分析-吴厚航 FPGA从综合到实现需要的过程如下:synth_design - opt_design - place-design - phys_opt_design - route_design 1、时序约束的理解 2、时序约束的基本路径 3、时序约束的步骤 4、时序约束的主要方法 5、查看相关时序信息 FPGA的设计约束分为物理约束

    2024年02月16日
    浏览(24)
  • FPGA时序分析与时序约束(二)——时钟约束

    目录 一、时序约束的步骤 二、时序网表和路径 2.1 时序网表 2.2 时序路径  三、时序约束的方式 三、时钟约束 3.1 主时钟约束 3.2 虚拟时钟约束 3.3 衍生时钟约束 3.4 时钟组约束 3.5 时钟特性约束 3.6 时钟延时约束         上一章了解了时序分析和约束的很多基本概念(FP

    2024年02月03日
    浏览(54)
  • FPGA时序分析与约束(2)——时序电路时序

            在之前的内容中,我们介绍了组合电路的时序问题和可能导致的毛刺,强烈推荐在阅读前文的基础上再继续阅读本文, 前文链接:FPGA时序分析与约束(1)——组合电路时序         这篇文章中,我们将继续介绍FPGA时序分析相关内容,本文介绍的是时序电路的时序

    2024年02月10日
    浏览(30)
  • FPGA时序分析与约束(5)——时序路径

            在之前的文章中我们分别介绍了组合电路的时序,时序电路的时序和时钟的时序问题,我们也对于时序分析,时序约束和时序收敛几个基本概念进行了区分,在这篇文章中,我们将介绍时序约束相关的最后一部分基本概念,带领大家了解什么是时序路径。       

    2024年02月09日
    浏览(30)
  • FPGA时序分析与约束(8)——时序引擎

            要想进行时序分析和约束,我们需要理解时序引擎究竟是如何进行时序分析的,包括时序引擎如何进行建立分析(setup),保持分析(hold),恢复时间分析(recovery)和移除时间分析(removal)。         发起沿(launch edge,源时钟产生数据的有效时钟沿),捕获沿(capture

    2024年02月07日
    浏览(29)
  • FPGA时序分析与约束(1)——组合电路时序

            关于时序分析和约束的学习似乎是学习FPGA的一道分水岭,似乎只有理解了时序约束才能算是真正入门了FPGA,对于FPGA从业者或者未来想要从事FPGA开发的工程师来说,时序约束可以说是一道躲不过去的坎,所以从这篇文章开始,我们来正式进行FPGA时序分析与约束的学

    2024年02月10日
    浏览(29)
  • FPGA的时序分析、约束和收敛(1)----什么是时序分析?什么是时序约束?什么又是时序收敛?

    文章目录         写在前面         磨刀不误砍柴工         什么是时序分析?         什么是时序约束?         什么是时序收敛?         时序约束与分析是FGPA开发过程中一项必备的技能,同时也是设计开发中相对较难的部分。很多人一谈起FPGA开

    2024年02月12日
    浏览(26)
  • FPGA时序分析与时序约束(一)——基础知识

    目录 一、为什么要进行时序分析和时序约束 二、什么是时序分析和时序约束 三、时序约束的基本路径 四、时序分析与约束的基本概念 4.1 Clock Uncertainty 4.2 建立时间和保持时间 4.3 发起沿和采样沿 4.4 数据到达时间和时钟达到时间 4.5 建立时间下的数据需求时间 4.6 保持时间

    2024年02月03日
    浏览(24)
  • FPGA时序分析与约束(10)——生成时钟

            最复杂的设计往往需要多个时钟来完成相应的功能。当设计中存在多个时钟的时候,它们需要相互协作或各司其职。异步时钟是不能共享确定相位关系的时钟信号,当多个时钟域交互时,设计中只有异步时钟很难满足建立和保持要求。我们将在后面的内容中介绍这部

    2024年02月21日
    浏览(27)
  • FPGA时序分析与约束(13)——I/O接口约束

            在应用了时钟约束后,所有寄存器到寄存器的路径都能定时。为了获得更加精准的FPGA外部时序信息,设计者需要为FPGA的I/O接口指定时序信息,一般时序工具只能获取FPGA器件内部的时序信息,对于FPGA器件引脚之外的时序信息,必须由设计者约束定义。如果没有指定的

    2024年02月05日
    浏览(32)

觉得文章有用就打赏一下文章作者

支付宝扫一扫打赏

博客赞助

微信扫一扫打赏

请作者喝杯咖啡吧~博客赞助

支付宝扫一扫领取红包,优惠每天领

二维码1

领取红包

二维码2

领红包